CN101522943B - 前体输送系统 - Google Patents

前体输送系统 Download PDF

Info

Publication number
CN101522943B
CN101522943B CN2007800377241A CN200780037724A CN101522943B CN 101522943 B CN101522943 B CN 101522943B CN 2007800377241 A CN2007800377241 A CN 2007800377241A CN 200780037724 A CN200780037724 A CN 200780037724A CN 101522943 B CN101522943 B CN 101522943B
Authority
CN
China
Prior art keywords
utensil
valve
gas
chamber
outlet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2007800377241A
Other languages
English (en)
Other versions
CN101522943A (zh
Inventor
K·方朱尔利亚
E·舍罗
M·E·维吉斯
C·L·怀特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Publication of CN101522943A publication Critical patent/CN101522943A/zh
Application granted granted Critical
Publication of CN101522943B publication Critical patent/CN101522943B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate

Abstract

一种前体源器具(100)包括器具本体(104)、在器具本体(104)内的通道(145)以及附连到本体(104)的表面的阀门(108,110,210)。内部腔室(111)适于容纳化学反应物,而且通道(145)从本体(104)外部延伸到腔室(111)。阀门(108,110,210)调节穿过通道(145)的流动。器具(100)具有入口阀门和出口阀门(108,110),且可选择地具有用于排出内部气体的通风阀门(210)。外部气体面板(97)可以包括流动地插入在出口阀门(110)与衬底反应腔室(162)之间的至少一个阀门(182)。每个气体面板阀门(182)可以沿一平面放置,该平面基本平行于器具(100)的平坦表面并且距离该平坦表面不超过大约10.0cm。器具盖(106)或壁中的过滤器(130)过滤通过器具的阀门(108,110,210)的气流。快速连接组件(102)允许将器具(100)快速且容易地连接到气体面板(97)。

Description

前体输送系统
优先权要求
本申请根据美国法典第35条第119(e)节要求提交于2006年10月10日的美国临时专利申请60/850,886的优先权。
技术领域
本申请一般地涉及半导体处理装置,且具体涉及用于输送反应物气体到处理腔室的设备。
背景技术
化学气相淀积(CVD)是半导体产业中用于在诸如硅晶片的衬底上形成材料薄膜的已知工艺。在CVD中,不同反应物的反应物气体(在此也被称为“前体气体”)被输送给反应腔室中的一个或多个衬底。在很多情况下,反应腔室仅包括被支撑在衬底支架(诸如基座)上的单个衬底,其中衬底和衬底支架被维持在期望的工艺温度。反应物气体彼此反应以形成衬底上的薄膜,其中生长率由温度或反应物气体的量来控制。
在一些应用中,将反应物气体以气体形式存储在反应物源器具中。在这些应用中,反应物蒸汽在环境压力(即正常压力)和环境温度下经常为气态。这些气体的示例包括氮气、氧气、氢气和氨气。然而,在一些情况下,使用在环境压力和环境温度下为液体或固体(例如氯化铪)的源化学物质(“前体”)的蒸汽。这些源化学物质可能必须被加热以产生足够数量的蒸汽以用于反应过程。对于一些固体物质(在此被称为“固体源前体”),室温下的蒸汽压力低至它们必须被加热以产生足够数量的反应物蒸汽且/或必须被维持在非常低的压力下。一旦汽化,重要的是要使气相反应物在整个处理系统中保持在汽化温度或高于汽化温度,从而防止阀门、过滤器、管道和与输送气相反应物到反应腔室相关联的其他部件中的非期望的冷凝。来自这些自然固体或液体物质的气相反应物对于各种其他工业中的化学反应是有用的。
原子层淀积(ALD)是用于在衬底上形成薄膜的另一种已知工艺。在很多应用中,ALD如上所述使用固体和/或液体源化学物质。ALD是一种气相淀积类型,其中通过在循环中执行的自饱和反应来建立薄膜。薄膜的厚度通过所执行的循环次数来确定。在ALD工艺中,交替并重复地向衬底或晶片施加气态前体以在晶片上形成材料的薄膜。在自限制工艺中一种反应物被吸附到晶片上。不同的、随后脉冲输送的反应物与所吸附的材料反应以形成期望材料的单一分子层。通过适当选择的试剂在反应过程中可能出现分解,诸如在配位体交换或吸杂反应中。在典型的ALD反应中,每个循环形成不超过一个分子单层。通过重复的生长周期来产生更厚的膜,直到达到目标厚度。
典型的固体或液体源前体输送系统包括固体或液体源前体器具和加热装置(例如,辐射热灯、电阻加热器等)。该器具包括固体(例如以粉末形式)或液体源前体。该加热装置加热器具以增大器具中的前体气体的蒸汽压力。该器具具有用于惰性运载气体(例如N2)流过器具的入口和出口。运载气体携带前体蒸汽一起经过器具出口并最终到达衬底反应腔室。该器具通常包括用于将器具的内含物流动地隔离于器具外部的隔离阀门。通常,在器具入口的上游提供一个隔离阀门,并在器具出口的下游提供另一个隔离阀门。前体源器具一般具有从入口延伸到出口的管道、管道上的隔离阀门以及阀门上的配件,这些配件被配置为连接到其余衬底处理设备的气流管线上。经常期望提供许多附加加热器,用于加热在前体源器具与反应腔室之间的不同阀门和气流管线,以防止前体气体冷凝或淀积在这些部件上。因此,在源器具与反应腔室之间的气体传送部件有时被称为“热区”,在该热区中温度被维持在前体的汽化/冷凝温度之上。
已知提供蛇形或曲折的流动路径以便于运载气体的流动,同时其被暴露于固体或液体前体源。例如,美国专利4,883,362、7,122,085和7,156,380均公开了这种蛇形路径。发明内容
在一个方面,一种化学反应物源器具,包括器具本体、在所述器具本体内的通道和直接附连到所述器具本体的表面的阀门。所述器具本体限定一内部腔室,该内部腔室适于容纳固体或液体化学反应物,且所述通道从所述器具本体的外部延伸到所述腔室。所述阀门被配置为调节穿过所述通道的流动。
在另一个方面,一种用于衬底蒸汽处理的气相反应器的气体输送系统,其包括用于处理衬底的气相反应腔室、适于容纳固体或液体化学反应物的器具、连接到所述器具的大致平坦表面的入口阀门、连接到所述器具的所述大致平坦表面的出口阀门、从所述入口阀门穿过所述器具达到所述出口阀门的气流路径以及多个气体面板阀门。所述气流路径被配置为传送气体从而接触被容纳在所述器具内的固体或气体化学反应物。所述气体面板阀门包括在所述出口阀门下游并流动地插入在所述出口阀门与所述反应腔室之间的至少一个阀门。每个所述气体面板阀门沿着大致平行于所述器具的所述平坦表面的平面放置,所述平面与所述器具的所述平坦表面之间的距离不超过大约10.0cm。
在另一个方面,一种化学反应物源器具包括容器、阀门和过滤器。所述容器限定适于容纳固体或液体化学反应物的内部腔室。所述容器的壁具有从所述容器外部延伸到所述腔室的通道。所述阀门附连到所述壁,并且适于调节通过所述通道进入和来自所述腔室的气流。所述过滤器在所述壁中,并且适于阻止微粒物质流过所述通道。
在又一个方面,一种用于衬底蒸汽处理的气相反应器的气体输送系统包括用于处理衬底的气相反应腔室、适于容纳固体或液体化学反应物的器具、连接到所述器具的出口阀门、用于从所述出口阀门输送反应物气流到所述反应腔室的气体输送系统、在所述反应腔室的下游的蒸汽排出部件、连接到所述器具的通风阀门以及一个或多个管道,所述管道用于从所述通风阀门输送气流到所述排出部件而不使其流过所述气体输送系统或所述反应腔室。
在又一个方面,提供一种用于将化学反应物源器具连接到用于衬底蒸汽处理的气相反应器的气体接口组件的设备。所述设备包括器具、气相反应器的气体接口组件以及用于将所述器具连接到所述气体接口组件的连接组件。所述器具具有适于容纳固体或液体化学反应物的腔室。所述器具包括与所述腔室流体连通的入口和出口。所述气体接口组件具有适于连接到器具腔室的所述出口的气体入口。所述连接组件包括轨道部件和抬升组件。所述轨道部件包括一个或多个细长轨道,所述细长轨道适于可移动地接合所述器具的一个或多个轨道接合构件。所述抬升组件被配置为在降低位置与升高位置之间垂直移动所述轨道部件。当所述器具的一个或多个轨道接合构件与所述轨道部件的所述一个或多个轨道接合,且当所述抬升组件将所述轨道部件移动到其升高位置时,所述器具的出口将被定位到与所述气体接口组件的所述气体入口基本直接流体连通。
为了概括本发明及相对现有技术实现的优点,上面已经描述了本发明的某些目标和优点。当然,应该理解根据本发明的任何特定实施例可以不必实现所有这些目标或优点。因此,例如,本领域技术人员将认识到,可以以实现或优化本文教导的一个优点或一组优点而不必实现本文可能教导或建议的其他目标或优点的方式来体现或实现本发明。
所有这些实施例希望处于本文公开的发明范围内。通过以下优选实施例的详细描述并参考附图,本发明的这些及其他实施例对本领域技术人员来说将变得显而易见,本发明并不局限于所公开的任何特定的(多个)优选实施例。
附图说明
通过参考以下描述、随附的权利要求和附图,本发明的这些和其他方面对于技术人员来说将是显而易见的,这些内容希望举例说明而非限制本发明,其中:
图1是传统前体源组件和反应腔室组件的示意性图示说明。
图2是传统固体前体源器具的透视图。
图3是在用于原子层淀积的反应物气体脉冲中理想的和稍不理想的源化学浓度的图示。
图4是传统前体源器具和气体面板的示意性图示说明。
图5是带有表面安装的阀门和气体面板的前体源器具的示意性图示说明。
图6是带有表面安装的阀门和与器具紧密热接触的气体面板的前体源器具的示意性图示说明。
图7是前体源器具、用于与该器具流体连通的气体接口组件以及使该器具与该气体接口组件连接和断开的快速连接组件的优选实施例的透视图。
图8是图7的器具的分解透视图。
图9是图7的器具的后透视截面视图。
图10是图7的器具的后截面视图。
图11是器具本体的可替换实施例的顶透视图。
图12是包括托盘组的蛇形插入物的实施例的分解透视图。
图13是图12的蛇形插入物的上堆叠托盘的透视图。
图14是图13的上堆叠托盘的顶视图。
图15是图12的蛇形插入物的下堆叠托盘的透视图。
图16是图15的下堆叠托盘的顶视图。
图17是安装在前体源器具的盖上的过滤器的截面视图。
图18是可以用于图17的过滤器的过滤材料的实施例。
图19是用于使运载气体和反应物气体流过前体源器具和气相反应腔室的气体运输系统的示意性图示说明。
图20和图21是显示为连接的图7的器具和气体接口组件的前透视图。
图22是图7的前体源器具和气体接口组件的顶前透视图,且其带有快速连接组件的可替代实施例。
图23是显示为连接的图22的器具和气体接口组件的顶前透视图。
图24是显示为分离的图22的器具和气体接口组件的底前透视图。
图25是图19的气体输送系统的示意性图示说明,其中通风阀门和专用通风管线被添加到前体源器具。
图26是带有通风阀门的前体源器具的透视图。
图27是图26的器具的透视图,该器具连接到图22-24的气体接口组件。
图28是图26的器具的截面视图,添加了用于器具的专用加热器件。
具体实施方式
申请专利证书的本申请公开了改进的前体源器具、用于装载并连接该该器具到反应器的设备和方法以及使用带有蒸汽处理反应器的器具的接口。所公开的实施例提供到反应物蒸汽的良好通路(access),降低了反应器的气体输送系统的污染,并且提供了前体源器具的改进适用性(例如,替换或再充装)。
以下关于优选实施例和方法的详细描述给出了某些特定实施例的细节以帮助理解权利要求。然而,可以用如权利要求所定义和覆盖的多种不同的实施方式和方法来实践本发明。
气体输送系统概述
图1示意性图示说明用于将在固体或液体前体源器具10处产生的气相反应物注入到气相反应腔室12内的传统前体输送系统6。技术人员将理解本发明的前体输送系统可以包含图1的气体输送系统6的很多方面。因此,现在描述传统输送系统6以便更好地理解本发明。
通过参考图1,固体或液体源器具10容纳固体或液体源前体(未显示)。固体源前体是在标准条件(即室温和大气压)下为固体的源化学物质。类似地,液体源前体是在标准条件下为液体的源化学物质。前体在源器具10内汽化,该源器具10可以被维持在汽化温度或高于汽化温度。然后将汽化的反应物注入到反应腔室12内。反应物源器具10和反应腔室12可以分别位于反应物源壳体16和反应腔室器具18内,优选分别将其抽空和/或进行热控制。如本领域所知,这可以通过向这些部件提供分离的冷却和加热器件、绝缘体和/或隔离阀门和相关联的配管来实现。
图示说明的气体输送系统6特别适用于输送要在气相反应腔室中使用的气相反应物。这些气相反应物可以用于淀积(例如,CVD)或原子层淀积(ALD)。
从图1可以看出,反应物源器具10和反应腔室12适于通过第一管道20彼此选择性地流体连通,从而将气相反应物从反应物源器具10注入到反应腔室12(诸如ALD反应腔室)。第一管道20包括一个或多个隔离阀门22a、22b,其可以用于在对反应物源器具10和反应腔室器具18二者或之一进行抽空和/或维护的过程中分离反应物源器具10和反应腔室12的气体空间。
优选使用不活泼气体或惰性气体作为汽化前体的运载气体。惰性气体(例如氮或氩)可以通过第二管道24注入到前体源器具10中。反应物源器具10包括用于连接到第二管道24的至少一个入口和用于从器具10抽出气体的至少一个出口。器具10的出口连接到第一管道20。器具10可以在比反应腔室12的压力更高的压力下进行操作。因此,第二管道24包括至少一个隔离阀门26,该隔离阀门26可以用于在维护或更换器具的过程中流动隔离器具10的内部。控制阀门27优选位于反应物源壳体16外部的第二管道24中。
在另一变体中(其可以应用于本发明的实施例中),可以通过向反应物源器具10施加真空而并不使用运载气体来将前体蒸汽抽到反应腔室12中。这有时被称为“蒸汽抽吸”。
在又一个变体中(其也可以应用于本发明的实施例中),可以通过如以文氏管效应(Venturi effect)在器具外部产生较低压力的外部气流来将前体蒸汽抽出器具10。例如,可以通过使运载气体沿着在器具10下游的路径朝向反应腔室12流动来抽取前体蒸汽。在一些条件下,这可以在器具10与运载气体的流动路径之间产生压力差。这一压力差促使前体蒸汽朝向反应腔室12流动。
当使用固体源前体时,为了去除分散的固体粒子,气体输送系统6包括净化器28,通过该净化器28引入汽化的反应物。净化器28可以包括一个或多个非常不同的净化器件,诸如机械过滤器、陶瓷分子筛和能够从反应物气流中分离分散的固体或微粒或最小分子尺寸的分子的静电过滤器。在器具10中提供附加净化器也是已知的。特别地,美国公布的专利申请US2005/0000428A1公开了包括封闭在钢容器内的玻璃坩埚的器具,该坩埚容纳反应物源并具有带过滤器的盖。该盖与附连到钢容器的器具盖分离。
通过继续参考图1,反应物源器具10位于反应物源壳体16内。壳体16的内部空间30可以被保持在减少的压力下(例如1mTorr至10Torr,且经常为大约500mTorr)以促进辐射加热壳体16内的部件并且使这些部件彼此热隔离以有助于一致的温度场。在其他变体中,壳体未被抽空并且包括对流增强器件(例如,风扇、交叉流动等)。图示说明的壳体16包括一个或多个加热器件32,诸如辐射加热器。同时,可以提供反射器薄片34,其可以被配置为围绕壳体16内的部件以将由加热器件32产生的辐射热量反射到位于壳体16内的部件。可以在壳体16的内壁40上以及在壳体的顶板7和底板9上提供反射器薄片34。在图示说明的设备中,第一管道20的实质长度被包含在反应物源壳体16内。因此第一管道20将固有地接收一些热量以防止反应物蒸汽的冷凝。
反应物源壳体16可以包括形成于该壳体的外壁38与内壁40之间的冷却套管36。该冷却套管36可以容纳水或其它冷却液。该套管36允许壳体16的外表面38保持在环境温度或接近环境温度。
为了防止或减小在ALD工艺的交替脉冲之间来自反应物源器具10的气流,有可能在第一管道20中形成不活泼气体壁垒。这有时也被称为第一管道20的一部分中的“惰性气体阀”或“扩散壁垒”,其通过形成气相壁垒来防止反应物从反应物源器具10流到反应腔室12,该气相壁垒是通过使气体沿与第一管道20中的正常反应物流相反的方向流动而形成的。可以通过将不活泼气体经由第三管道50注入到第一管道20内来形成气体壁垒,该第三管道在连接点52处连接到管道20。第三管道50可以连接到供应第二管道24的惰性气体源54。在注入来自反应物源器具10的气相脉冲之间的时间段内,优选通过第三管道50将不活泼气体注入到第一管道20内。可以经由第四管道58提取这一气体,该第四管道在位于第一连接点52的上游(即更靠近反应物源器具10)的第二连接点60处连接到第一管道20。以这种方式,在第一连接点52与第二连接点60之间的第一管道20中(在反应物脉冲之间)形成与正常反应物气流方向相反的惰性气流。第四管道58可以与排气源64(诸如真空泵)连通。也可以提供限流器61以及阀门56、63和70。美国专利申请公布US2005/0000428A1中图示说明和描述了气体输送系统6的更多细节。
现有的固体或液体前体源输送系统(诸如图1所示的系统6)具有很多缺点和限制。一个缺点是有时必须提供大量附加加热器来加热在前体源器具(诸如器具10)与反应腔室(诸如反应腔室12)之间的气体管线和阀门。特别地,通常期望将所有这些介入的气体传送部件(例如,阀门22a、22b、70、净化器28、管道20)保持在高于前体的冷凝温度的温度,以防止前体蒸汽淀积在这些部件上。典型地,这些介入部件由线形加热器、盒式加热器、热灯等分别加热。一些系统(例如,美国专利申请公布US2005/0000428A1)利用这些额外的加热器将介入部件偏置到比源器具的温度更高的温度。这种温度偏置有助于防止变冷过程中前体在介入部件里冷凝。由于源器具一般具有比插入的气体传送部件更高的热质量,所以这些部件存在比源器具更快冷却到冷凝温度的风险。这可能导致不期望的情况,即源器具仍然产生可能流到更凉的介入部件处并淀积于其上的前体蒸汽。温度偏置可以克服这一问题。然而,对额外加热器的需求增大了设备的总尺寸和运行成本。
此外,传统固体源输送系统通常采用在源器具出口与衬底反应腔室之间的过滤器(诸如图1中的净化器28),以便防止固体前体粒子(例如运载气流中带走的粉末)进入反应腔室。这些过滤器也增大设备的总尺寸并且可能需要附加加热器以防止在其中的冷凝。同时,这些过滤器一般在源器具出口的下游,这带来以下风险:前体粒子可能淀积在器具出口的下游处的气体传送部件上,诸如气体管道内或器具出口阀门自身内。这些粒子可能损坏诸如阀门等部件,这可能损害它们完全密封的能力。
传统固体或液体源输送系统的另一个缺点是经常难以对前体源器具重新装料或替换该前体源器具。图2显示包括容器本体33和盖35的典型前体源器具31。盖35包括从该处向上延伸的入口管43a、43b和出口管45a、45b。隔离阀门37插入在入口管43a、43b之间,且隔离阀门39插入在出口管45a、45b之间。另一个隔离阀门41插入在连接管43a和管45a的气体管线之间。入口管43a、43b和出口管45a、45b提供通过容器本体33的惰性运载气体流动。管43a、45a一般包括配件47,这些配件被配置为连接到反应物气体输送系统的其他气流管线。当固体或液体源前体被耗尽且需要更换时,通常用满载源化学物质的新源器具来更换整个源器具31。更换源器具31需要关闭隔离阀门37和39,将配件47与其余衬底处理设备断开,在物理上去除器具31,在适当位置放置新器具31,并将新器具31的配件47连接到其余衬底处理设备上。通常,这一过程还涉及拆卸各种热电偶、管线加热器、夹具等。这些过程可能有点费力。
传统固体或液体源输送系统的另一个缺点是气体输送系统可能产生停滞流动的区域(也被称为“盲管段(dead legs)”)。当来自前体源器具的气体流动路径更长且更复杂时容易出现盲管段。用于源器具的传统入口隔离阀门和出口隔离阀门(如上所述)可能产生盲管段。通常,盲管段增大在输送系统的气体传送部件上出现不想要的前体淀积的风险。当前体在低于升华/融化温度的温度下凝固时,这种不想要的前体淀积可能由于与盲体积相关联的冷点而发生。当前体在高温下下分解时,这种不想要的前体淀积也可能由于与盲体积相关联的热点而发生。正因为如此,一般期望降低和最小化反应物气流的停滞。一般也期望减少温度受控的表面面积,以便减少产生热点和冷点的可能性。
最小化盲管段的数量和体积的另一个原因是为了减少插入在前体源器具与衬底反应腔室之间的气体输送系统的总体积。随着气体输送系统的总体积增大,与ALD处理相关联的最少脉冲时间和最少清除时间经常也增加。最少脉冲时间是使被处理的衬底表面饱和的注入反应物所必需的脉冲时间。最少清除时间是在反应物脉冲之间将过量反应物清除出衬底反应腔室和气体输送系统所必需的时间。当减少最少脉冲时间和最少清除时间时,衬底产量(可以处理衬底的速度)增加。因此,期望降低盲管段的数量和体积以便增加产量。
减少气体输送系统的总体积的另一个好处是改进反应物气体脉冲的“脉冲形状”。对于反应物气体脉冲,该脉冲形状指的是反应物/载体混合物中反应物的化学浓度的曲线的形状。图3示出理想反应物浓度曲线80以及稍不理想的曲线82的示例。两条曲线均包括由反应物浓度基本为零的时间段86分隔的反应物气体脉冲84。理想曲线80类似于直线波,诸如方波。优选基本上为直线的波形,因为对于每个反应物气体脉冲非常需要在最短的时间内将反应物种类输送到衬底表面上的所有可用反应位置(饱和),以便使衬底产量最优化。如曲线80中所示,直线脉冲形状使产量最优化,因为每个脉冲的持续时间具有高的反应物浓度,这反过来减少输送足够反应物种类到衬底表面所必需的脉冲持续时间。同时,直线脉冲形状的减小的分散降低了不同前体的连续脉冲之间的“脉冲重叠”量,这降低不想要的CVD生长模式的可能性。相反,非理想曲线82的每个脉冲84的脉冲浓度要花费更长的时间来达到其最大水平,这增加了使衬底表面完全饱和所必需的脉冲持续时间。因此,曲线80的频率小于曲线82的频率。随着气体输送系统的总体积增加,脉冲形状恶化。因此,期望通过最小化盲管段来改善脉冲形状(即,使其更像方波)。
传统固体源输送系统的另一个缺点是在处理之前在前体源器具通风中具有污染的风险。通常向前体源器具提供器具中气体的初压力。例如,充满前体粉末的源器具经常和处于稍微高于环境压力(例如,高出5 psi)的压力的氦气或其他惰性气体一起运载。氦气通常用于使利用氦泄漏探测器的“外限(out-bound)”氦泄漏测试能够正好在运载之前确保器具的完整性。该氦气经常被留下或者被N2或其他惰性气体替代,从而如果存在小泄漏,则该气体从器具内向外泄漏,防止对于器具内前体的大气污染。在该器具被用于衬底处理之前通常去除内部气体的初压力。典型地,器具的内部气体经过器具的出口隔离阀门、经过反应物气体输送系统并最终经过反应器的排气装置/滤清器被排出。在一些系统中,器具的内部气体经过衬底反应腔室排出。其他系统采用与反应腔室平行(即从正好在反应腔室上游的一点延伸到正好在反应腔室下游的一点)的气体管线,从而器具的内部气体可以被引导到排气装置/滤清器而不流经反应腔室。在任何情况下,当前的器具设计在器具释放初压力时均存在粒子生成的风险。这可能导致前体粉末被通风流动(即排出器具的内部加压气体)带走,其可能污染并有可能损坏包括器具出口本身的气体输送系统的下游部件。即使在正常处理过程中,前体材料(例如粉末)也可能被流过前体源器具的运载气体带走,这存在前体在气体输送系统内的不想要的淀积的风险。
当前公开的前体输送系统的实施例通过采用改进的前体源器具和用于使该器具与输送系统的剩余部分快速连接或断开的设备来基本上克服这些问题。现在描述这些方面。
与源器具近热接触的气体面板
图4-6图示说明三种不同的气体面板布置。气体面板通常包括在前体源器具的下游的一个或多个阀门,并且也可以包括在器具上游的一个或多个阀门。图4图示说明传统的布置,其中源化学物质被容纳在源器具10内。气体面板90包括能够可操作地输送来自运载气体源(未显示)的运载气体经过器具10并进入反应腔室(未显示)内的多个阀门。入口阀门91通过管道系统93连接到器具10的上游,而出口阀门92通过管道系统94连接到器具10的下游。在这一传统布置中,入口阀门91、出口阀门92以及气体面板90的阀门和管道系统一般不与器具10进行紧密的热接触。
图5图示说明相对于图4的布置有一定程度改进的布置。在图5的布置中,前体源器具100具有表面安装的入口阀门108和表面安装的出口阀门110。阀门108和110通过管道系统95和96与传统气体面板90分隔开。在这一布置中,阀门108和110与器具100密切热接触,但是气体面板90的阀门和管道系统则不这样。
图6图示说明相对于图5的布置有所改进的布置。在图6的布置中,源器具100具有大致平坦的上表面以及表面安装的入口阀门108和表面安装的出口阀门110。同时,布置气体面板97,从而气体面板的阀门和管道系统沿着大致平行于器具100的大致平坦表面的平面定位。为了增加器具100与气体面板阀门和管道系统之间的热接触,气体面板阀门和管道系统的平面与器具100的大致平坦表面之间的距离优选不超过大约10.0cm,更优选地不超过大约7.5cm,且更加优选地不超过大约5.3cm。
带有表面安装的阀门和蛇形路径的源器具
图7显示改进的固体或液体前体源器具100和快速连接组件102的优选实施例。源器具100包括容器本体104和盖106。盖106包括表面安装的隔离阀门108和110,这在下面更详细地描述。
图8-10更详细地显示图7的源器具100。图8是源器具100的分解视图,而图9和图10是源器具100的后截面视图。图示说明的器具100包括容器本体104、在本体104内的蛇形路径插入物112和盖部件106。通过紧固元件124(诸如螺钉或螺帽与螺栓的组合)将图示说明的组件紧固在一起。紧固元件124适于延伸进入本体104的凸缘126中的对准孔内。技术人员将认识到该组件可以通过各种替代性方法紧固在一起。
蛇形路径插入物112优选限定曲折的或蛇形路径111,当运载气体流过器具100时它必须经过该蛇形路径111。蛇形路径112优选容纳前体源,诸如粉末或液体。促使运载气体流过长的蛇形路径111同时暴露于前体源,这导致运载气体携带更多的反应物蒸汽。蛇形路径111明显比传统前体源器具内的运载气流路径更长。由于运载气体需要沿更长的路径流动并暴露于前体源,其在更长的时间内暴露于前体源并因此更有可能被前体饱和。蛇形路径111还降低了在处理过程中加热器具100的重要性,因为运载气体在更长的驻留时间内被暴露于更多的反应物化学物质,实际效果是降低升华/汽化所需要的温度。阀门108和110(在下面描述)和阀门210(下面通过参考图25-28进行描述)经受较不恶劣的环境,由此增加它们的可靠性。降低的温度需求也增加了设计中的部件选项。性能也得到改善,因为更容易输送足量的反应物蒸汽到反应腔室。同时,在ALD处理过程中,每个反应物脉冲的浓度变得更少地随时间变化。换句话说,随着运载气体接近由反应物蒸汽完全饱和,反应物脉冲形状变得更接近直线波形。
优选提供弹簧114以朝向顶盖106偏压蛇形插入物112,从而防止反应物气体经过插入物112与盖106之间的接口逸出。换句话说,弹簧114倾向于降低气体绕过一些或全部蛇形路径的风险。适当的弹簧114包括扁平线压紧弹簧,诸如由Lake Zurich,IL的Smalley SteelRing公司出售的
Figure G2007800377241D00131
波形弹簧。
在可替代实施例中,蛇形路径111被直接机械加工到容器本体104或器具盖106内。例如,图11显示具有直接机械加工于其中的整体成形的蛇形路径111的容器本体104。
在另一个可替代实施例中,如图12-16所示,蛇形插入物112包括多个堆叠的托盘,其共同限定出蛇形气体流动路径。例如,图12示出多个堆叠托盘230、240,这些堆叠托盘被配置为可移除地插入到容器本体104内(图7-10)并且共同限定出包括器具100的至少一部分曲折路径的螺旋气流路径。在图12-16中,放大了托盘230、240的高度以便于图示说明。应该理解托盘可以在垂直方向制作得更薄,从而器具100具有显著大于其总体高度的直径。
在图示说明的实施例中,四个托盘堆叠在一起:三个上托盘230和一个下托盘240。托盘的数量可以基于诸如升华速率、载体流动等参数发生变化。
参考图13和图14,每个上托盘230包括防止气体从其中流过并在托盘230的整个高度延伸的实心分配器231和允许气体从其中流过的局部分配器232。优选地,该局部分配器包括网筛233,该网筛233被配置为保留大的前体微粒同时允许自由气体从其中流过。在图示说明的实施例中,网筛233延伸跨过局部分配器232的顶部,同时固体面板完全占据局部分配器232的高度。环状轮圈234也在上托盘230的高度上延伸。实心分配器231和局部分配器232共同限定出用于保持固体源材料(未显示)的主隔室235和在托盘230的下表面处开口的外通道隔室236。图示说明的上托盘230具有中心核237,该中心核包括中心通道238以容纳输送运载气体到底托盘240的气体入口管。图示说明的上托盘230还具有在其上表面上的多个钉(peg)239以及在其下表面上的相应多个孔(未显示),所述孔用于接收另一个更下面的托盘的钉。对照操作可以更好地理解,如下所述,希望中心核237的下表面上的孔相对于上表面上的钉239旋转偏移,从而使多个托盘适当地彼此对准以限定盘旋的流动路径。在某些优选实施例中,主隔室中流动所暴露的角落(corner)被圆形化以最小化由尖角角落引起的流动停滞。
参考图15和图16,最下托盘(lowest tray)240包括防止气体从中流过并在托盘240的整个高度延伸的实心分配器241和允许气体从其上流过的局部分配器242。优选地,局部分配器242仅提供面对叠覆的上托盘230中间的中心通道238的开口,这将通过参考图12的描述得以更好地理解。环状轮圈244也在下托盘240的高度上延伸。轮圈244、实心分配器241和局部分配器242共同限定出用于保持固体源材料(未显示)的主隔室245和外通道隔室246。在优选实施例中,固体源材料仅最大程度充满主隔室245,且甚至充满通道隔室246。在可替代的实施例中,固体源材料充满主隔室的高度的三分之一至三分之二之间。图示说明的下托盘240也具有中心核247、在其上表面上的多个钉249以及在其下表面上的相应多个孔(未显示),所述孔用于接收从容器本体104的底板向上突出的钉(图7-10),其中通道隔室246突出到中心核247内。
如图12的分解视图所示被组装的托盘230、240的组。每个上托盘230和下托盘240的主隔室235、245加载有前体源化学物质,优选呈现为粉末形式。下托盘240和多个上托盘彼此堆叠在一起并加载到外部容器本体104内。托盘230、240通过钉239、249和相应的孔对准,从而气体流动进入每个托盘,优选至少流过围绕主隔室且角度在200°-355°范围内的弯折,然后向上进入叠覆的上托盘230的管道隔室236。容器盖106(图7和图8)然后关闭并密封整个容器本体104,并且从盖开始延伸的中心管215向下延伸经过上托盘230的中心管道238从而开口到下托盘240的管道隔室246内。图12显示中心管215但是未显示盖106。中心管215被配置为将传送的运载气体输送到器具100的入口内。在某些优选实施例中,经常将弹簧或其他偏压装置(未显示)放置在240下面以一起偏压所有的托盘,防止从中心核到不同层级的泄漏。
在操作中,惰性气体优选被输送到托盘230、240的组,并水平地经历长的盘旋流动路径,优选在垂直地退出每个托盘之前经过每个托盘230、240中主隔室的大约200°-350°弧形段。在图示说明的实施例中,提供惰性运载气体经过中心入口215,该中心入口215向下延伸经过上托盘230的对准中心管道238,从而开口到下托盘240的管道隔室246内。惰性气体盘旋卷起主隔室245中的前体源化学物质,直到遇到叠覆的上托盘230的下表面内的开口。这一开口允许运载气体及其携带的汽化前体通过并进入叠覆的上托盘230的管道隔室236内,气体从该管道隔室236起经过网筛233(图13)并进入主隔室235。气体盘旋卷起在这一主隔室235中的固体前体,优选在遇到叠覆的上托盘230等的下表面上的开口之前经过大约200°-350°的弧形段,等等。在最上面的上托盘230处,允许气体离开器具100,优选经过器具盖106处的表面安装的出口阀门110(下面描述)。当然,应该理解根据需要可以反转流动路径。换句话说,惰性运载气体可以从顶部托盘开始经向下流过托盘组。
再次参考图8-10,在图示说明的实施例中,器具盖106包括入口阀门108和出口阀门110。入口阀门108具有经由管道121接收运载气体的入口端。管道121具有配件122,该配件122适于连接到气体接口组件180(下面描述)的气体管线133的配件131(图7)。入口阀门108还具有出口端,该出口端优选与插入物112的蛇形路径111的第一部分117(诸如末端部分)流体连通。出口阀门110具有入口端和出口端,该入口端优选与蛇形路径111的第二部分119(诸如末端部分)流体连通,该出口端与盖106的适当气体出口(诸如孔口128)流体连通。在使用中,运载气体流进管道121并流经入口阀门108、蛇形路径111和出口阀门110,并最终从孔口128退出。因此,这一实施例可以实现的结果包括将隔离阀门安装到盖106的表面上,并促使运载气体在暴露于前体源的情况下沿曲折的或蛇形路径流动。技术人员将认识到可以不同地配置器具100。
如上面所解释,传统的固体或液体前体源器具包括从器具本体或盖延伸的分离的管,其中阀门被内嵌地连接于这些管。例如,图2的传统器具31包括从盖35向上延伸的分离的管43b和45b,其中阀门37和39附连到这些管上。器具37的阀门37和39并不直接附连到或者接触盖35。结果,来自器具31的反应物气体流出出口管45b并且接着进入出口阀门39,这可能包括带有滞流或盲区气体体积的流动路径。另外,传统器具31的隔离阀门37、39和41显著地与器具盖35和本体33热隔离。不管存在或不存在盲体积或“盲管段”,管道系统和阀门均难以有效地以三维几何形状来加热。这些阀门具有比盖35和本体33更小的热质量,因此倾向于更快地加热或冷却。这就是为什么在传统系统中经常特别使用额外加热器(诸如线形加热器、盒式加热器、直接加热灯等)在系统冷却的过程中向阀门和相关管道系统提供热量,以防止这些部件比器具31更快地冷却(这会产生不希望的情况,即反应物蒸汽流进这些部件并淀积于其上)。传统阀门和管道系统的另一个问题是它们会比器具31更快地加热。对于一些前体,这会产生一种情况,即阀门和管道系统变得比前体的分解温度更热,致使前体分解并淀积于其上。
相反,源器具100的隔离阀门108和110(图7-10)优选直接安装到器具100的盖106的表面。这种表面安装技术可能被称为集成或一体气体系统。与传统前体源器具(例如图2)相比,表面安装的阀门108和110可以通过消除阀门与器具100间的管道系统来减少气体输送系统中的盲管段(停滞的反应物气流),这简化并缩短了反应物气体的运行路径。由于存在减小温度梯度的压缩几何形状和改良热接触,阀门和管道系统更能经受加热的检验。图示说明的表面安装的阀门108和110分别具有阀门节流块118和120,这些阀门节流块优选包括阀座和可调节流动限制器(例如隔膜),以便选择性控制气体流动经过阀座。这些阀门108和110通过限制经过阀座的所有气流来隔离器具100。节流块118、120可以与器具盖106整体成形或者分别成形并安装于其上。在任何一种情况下,节流块118、120优选具有与器具盖106相对高程度的热接触。这导致阀门108和110的温度在器具100的温度变化过程中保持接近盖106和容器本体104的温度。这一表面安装的阀配置可以减少防止汽化的前体气体冷凝所需的加热器的总数量。当器具100的温度高于前体源化学物质的汽化温度时,汽化的前体可以自由地流到阀门108和110。由于阀门108、110在温度爬升过程中紧密地追随器具100的温度,所以阀门的温度也有可能高于汽化温度,由此降低对防止前体在阀门中冷凝的额外加热器的需求。缩短的气流路径也更好地适用于受控加热。表面安装的阀门108和110也具有更小的封装空间需求。
阀门108和110中的每一个优选包括阀门节流块,该阀门节流块包括可以受到阀门限制或由阀门打开的气体流动通道。例如,通过参考图9和图10,阀门108的节流块118优选包括内部气流通道,该气流通道从管道121延伸穿过节流块118的一侧123到达区域113。区域113优选包括用于限制气体流动的内部设备(未显示),诸如阀座和可移动限流器或隔膜。在一个实施例中,可以通过以手动或自动的方式旋转旋钮(例如,阀门108的更大的圆柱形上部181)来移动可移动的内部限流器或隔膜。另一个内部气流通道优选从区域113延伸穿过块118的相对侧125到达入口通道,该入口通道从盖106延伸进入器具100。例如,该入口通道可以延伸进入由蛇形插入物112限定的曲折路径111。阀门110和通风阀门210(下面通过参考图25-28进行描述)可以类似于阀门108进行配置。在一个实施例中,阀门108和110是气动阀门。特别优选使阀门节流块118和120与器具盖106整体形成。这消除了对二者之间的分离密封件的需求。
在另一个实施例中,阀门108、110和210(图25-28)被形成为不带节流块(诸如节流块118、120)并且优选与器具100的一部分(诸如器具盖106)整体成形。
过滤器
优选地,前体源器具包括用于过滤流过器具的气体以防止微粒物质(例如源化学物质的粉末)逸出器具的过滤设备。该过滤设备可以被装备在器具的盖中,优选处于表面安装的阀门108、110和/或210(图25-28)的下面。优选地,该过滤设备包括用于器具的每个入口和出口的分离的过滤器。
图17是过滤设备130的一个实施例的截面视图,该过滤设备130可以安装在反应物源器具的本体或盖(例如图8的盖106)中。图示说明的设备130是由凸缘132、过滤介质134和紧固元件136形成的过滤器。在这一实施例中,确定过滤器130的尺寸和形状以紧密适配到器具的盖(例如,图8的盖106)的凹槽138内。凸缘132的周界可以是圆形、矩形或其它形状,且该形状优选紧密地符合凹槽138的周界。过滤材料134被配置为限制大于某一尺寸的气携粒子(gas-entrainedparticle)通过开口的通道,该开口由凸缘132的环形内壁140限定。材料134优选阻挡由壁140限定的整个开口。材料134可以包括各种不同材料中的任一种,且在一个实施例中是高流动烧结的镍纤维介质。在其它实施例中,过滤介质由其它金属(例如不锈钢)、陶瓷(例如氧化铝)、石英或一般包括在气体或液体过滤器中的其它材料制成。材料134优选焊接或粘附到环形壁140上。在一个实施例中。过滤器130包括表面安装的夹心过滤器,诸如由CA的Santa Clara的TEMProducts出售的那些过滤器。
在图示说明的实施例中,紧固元件136包括朝向盖106的壁146偏压凸缘132的弹簧扣环。环136优选紧密适配在凹槽138的周界中的环形槽142内。扣环136可以包括例如扁平线压紧弹簧,诸如由IL的Lake Zurich的Smalley Steel Ring公司出售的
Figure G2007800377241D00191
波形弹簧。可以提供额外的不同类型紧固元件以将过滤器130紧固到盖106上。优选地,紧固元件136防止运载气体和反应物蒸汽流过凸缘132与盖106之间的接口,从而所有气体必须流过过滤材料134。可以提供副凹槽147以限定在过滤器130的出口侧上的高压间148,这可以改善被过滤气流的质量。图示说明的过滤器130是易于更换的,即简单地通过从环形槽142上去除扣环136、从凹槽138上去除过滤器130、插入新过滤器130以及将扣环136重新插入到环形槽142内来实现更换。
过滤器凹槽138优选位于前体源器具的隔离阀门中的一个附近。在图17的实施例中,凹槽138在源器具100的出口隔离阀门110(图1)的阀门节流块120的正下方。技术人员将理解可以提供与器具的每个隔离阀门相关联的单独过滤器130,这些隔离阀门包括入口阀门108和通风阀门210(图25-28)。通道145从高压间148延伸到阀门节流块120的通道144。在图示说明的实施例中,节流块120独立于器具盖106而形成,且优选在其间具有密封件。在另一个实施例中,块120与盖106整体形成,且以相同的钻孔操作形成通道144和145。
图18是根据一个实施例的过滤材料134的表面部分的放大截面视图。在这一实施例中,过滤材料134包括大粒子过滤层150和小粒子过滤层152。大粒子过滤层150优选过滤相对较大的粒子,而小粒子过滤层152优选过滤相对较小的粒子。大粒子过滤层150包括多个孔眼151。在一个实施例中,大粒子过滤层150是大约20-60%的孔眼,且更优选地为30-50%的孔眼。在一个实施例中,大粒子过滤层150是大约42%的孔眼。大粒子过滤层150可以包括例如不锈钢材料。大粒子过滤层150优选包括大多数的过滤材料134。由于存在孔眼151,过滤材料134产生相对低的压降。可以通过一个或多个支撑管154来用于增强大粒子过滤层150的结构刚性。小粒子过滤层152可以具有0.05-0.2微米的孔尺寸,且更优选地为大约0.10微米。小粒子过滤层152可以具有大约5-20微米的厚度,且更优选地为大约10微米。小粒子过滤层152可以包括例如氧化锆的涂层。大粒子过滤层150的每一侧均可以涂覆有小粒子过滤层152。适当的过滤材料是一种类似于由Pall公司出售的AccuSep过滤器的过滤材料。
气体接口组件
图19是可以用于使运载气体和反应物气体流动经过前体源器具100和气相反应腔室162的气体输送系统160的示意性图示说明。如此处所述,输送系统160包括器具100、运载气体源164、下游净化器或过滤器166和若干附加阀门。如上所述,隔离阀门108、110优选被表面安装在器具100上。运载气体源164可操作地输送惰性运载气体到连接点168。阀门170被安放在连接点168与器具入口阀门108之间。阀门172被被安放在连接点168与连接点174之间。阀门176被安放在连接点174与器具出口阀门110之间。净化器166和附加阀门178被安放在连接点174与反应腔室162之间。如图示说明,器具100可以具有适当的控制和报警界面、显示器、面板等。
当希望运载气体流过器具100并流到反应腔室162时,阀门170、108、110、176和178被打开,且阀门172被关闭。相反地,当期望运载气体在其去反应腔室162的路上绕开器具100时,阀门172和178被打开,且优选所有的阀门170、108、110和176被关闭。阀门178可以用于将反应腔室162与气体输送系统160隔离开,例如用于维护和维修。
通过再次参考图7,前体气体输送系统(诸如图19所示的系统)可以被嵌入气体接口组件180内,该气体接口组件180有助于控制运载气体和反应物蒸汽经过器具100和相关联的气相反应腔室的流动。图示说明的气体接口组件180包括多个阀门182(其可以执行与图19的阀门170、172、176和178基本相同的功能)、下游净化器或过滤器184和加热器板186。阀门182可以包括在原理和操作上类似于阀门节流块118和120的阀门节流块188。
通过参考图7和图19,气体管线133从阀门182中接收来自运载气体源164的运载气体的一个阀门延伸出。例如,气体管线133延伸开始处的阀门182可以基本上执行图19的阀门170的功能。图7并未示出从运载气体源延伸到这一阀门内的气体管线,但应该理解要提供这种气体管线。气体管线133包括当器具与气体接口组件180连接时连接到器具100的运载气体入口配件122上的配件131。气体接口组件180的出口135输送气体到反应腔室162。应该理解源器具的运载气体入口可以被配置为类似于出口孔口128。
通过继续参考图7,加热器板186加热阀门182和器具100,优选达到高于前体的汽化温度的温度。优选实施例的各种阀门、阀门节流块和气体管道之间的高水平热接触,以及加热器板186到这些部件的接近性降低了防止在器具100下游的气体传送部件中的前体冷凝所需的总热量。加热器板186可以由各种不同类型的加热器加热,诸如盒式加热器或线形加热器。加热器板可以由各种材料形成,诸如铝、不锈钢、钛或各种镍合金。热箔型(Thermofoil-type)加热器也可以用于加热加热器板186和阀门节流块188。使用热箔型加热器可以允许可变的功率密度或多于一个的温度控制区域。将可变的功率密度或多个温度控制区域与加热器板186结合可以使得引发沿气体流动路径的温度梯度成为可能。这可以随着反应物蒸汽向下游移动而使其逐渐加热,从而避免冷凝。合适的热箔加热器由MN的Minneapolis的Minco公司出售。也可以提供额外的加热器(包括线形加热器、盒式加热器、辐射热灯和热箔型加热器)来加热器具盖106和容器本体104。
在一些实施例中,可以提供专用加热器以加热器具100。在一个具体实施例中,如图18所示(在下面更详细地描述),在器具的容器本体104的下表面之下提供专用加热器件220。
如上所述,也可以通过“蒸汽抽吸”和外部气体流动方法来将前体蒸汽从器具100中抽出。在蒸汽抽吸方法中,对器具100施加真空以抽出蒸汽。例如,可以在反应腔室162的下游施加真空,其中阀门110、176和178打开且阀门108、170和172关闭。可以通过例如使用真空泵来施加真空。在外部气体流动方法中,可以通过使运载气体从源164流到反应腔室162来将前体蒸汽抽出器具100,其中阀门110、172、176和178打开且阀门108和170关闭。在某些条件下,这可以在器具100与运载气体的流动路径之间产生压力差,该压力差导致前体蒸汽流向反应腔室。
快速连接组件
通过继续参考图7,快速连接组件102优选便于更快捷且更简单地使前体源器具100加载、对准和连接到气体接口组件180。快速连接组件102在人体工程学上是友好的且有助于器具100的更换、再充装和适用性。在谨记这些目标的情况下,可以提供各种不同类型的快速连接组件,且技术人员将理解图示说明的组件102仅是一个实施例。快速连接组件102可以被包含在真空外壳内,且源器具100和支撑控制硬件被封装在该外壳内。
通过参考图7、20和21,图示说明的快速连接组件102包括基底190、从基底190的边缘向上延伸的轴架192、轨道部件194和抬升组件196。基底190可以优选固定到气体输送系统6(图1)的下内表面,诸如在反应物源壳体16的底板9上。优选地,轴架192在基底190上面的位置处连接到并支持气体接口组件180。轨道部件194包括平台198和在平台198的相对侧上的两个滚轮轨道200。具有对准滚轮204的一对滚轮组件202优选固定到器具100的相对侧上。在这一实施例中,滚轮204被确定尺寸并配置为在轨道部件194的轨道200内滚动,从而器具100可以容易且快速地在平台198上定位。
当滚轮组件202与轨道200接合从而将器具100加载到平台198上时,出口阀门110的出口优选垂直对准气体接口组件180的阀门182中的一个阀门的入口。抬升组件196被配置为在降低位置(图7所示)与升高位置(图20-21所示)之间垂直移动平台198。当器具100被加载到平台198上且平台被移动到其升高位置时,出口阀门110的出口优选直接地或间接地与阀门182之一的入口连通。可能需要最小程度的手动调节来适当密封出口阀门110的出口与阀门182的入口之间的接口。在图示说明的实施例中,出口阀门110的出口是阀门节流块120中的孔口128。以这种方式,快速连接组件102使得前体源器具100能够与气体接口组件180快速连接。
如图20所示,图示说明的抬升组件196包括可以人工开动剪刀腿197以垂直移动平台198的抬升把手195。例如,把手195和腿197可以以类似于一些现有自动起重器的方式进行操作。在一个实施例中,当把手195旋转近似180°时,抬升组件196将平台198抬升到其升高位置。然而,应该认识到可以替代性地提供其他类型的抬升装置。
快速连接组件102使得易于用新的器具调换耗尽的器具100。另外,由于组件102简化了器具的移除和安装,也更易于执行器具100的常规维护。优选地,器具100的重量被设置成可以容易地由单个技师来操控。
图22-24显示快速连接组件102的可替代实施例。图示说明的组件102包括平台198和轴架192。平台198包括轨道200,该轨道适于接收附连到器具100的相对侧上的舌片206。提供一个或多个抬升装置208来升高平台198。在图示说明的实施例中,抬升装置208包括在平台198下面的螺栓。可以旋转这些螺栓以促使平台198升高到与器具100相关联的连接位置。可以提供导向设备(未显示)来维持平台198的垂直对准。
通风阀门
如上所述,前体源器具通常具有器具中的惰性气体(例如氦)的初压力。在将这一初压力降低到典型工艺压力的排放过程中,固体前体粒子变成烟雾状散开并且被惰性气体流出物带走。这可能污染气体输送系统,因为这一气体通常经过器具的出口隔离阀门、反应物气体输送系统并最终经过反应器的排气装置/滤清器排出。稍后,在衬底处理过程中,由前体输送路径和通风路径共用的气体面板的被污染部分可能导致在衬底上进行ALD的过程中出现处理缺陷。
在优选实施例中,通过在前体源器具上提供附加通风阀门和在气体输送系统中提供专用通风管线以便在处理之前释放器具内的气体的初压力,从而基本上克服这一问题。图25-28图示说明本发明这一方面的实施例。图25是图19的气体输送系统160的示意性图示说明,其中器具通风阀门210被添加到前体源器具100,且专用通风管线211被连接到通风阀门210。图示说明的通风管线211直接延伸到排气装置/滤清器。排出的气体可以在不污染气体输送系统的情况下被充分释放,该气体输送系统形成输送前体到反应腔室162的路径,诸如部件110、176、166、178或其间的气体管线。
表面安装的限流器可以添加到器具通风阀门210上以降低通风流速,由此降低可能搅动前体源(例如粉末)的湍流。适当的表面安装的限流器由CT的Farmington的Mott公司出售,其也被称为GSMR限流器。
图26显示包括通风阀门210的前体源器具100的示例。在这一实施例中,通风阀门210位于入口隔离阀门108与出口隔离阀门110中间。然而,技术人员将认识到其他布置是可能的。优选地,通风阀门210包括阀门节流块212,其可以基本类似于阀门节流块118和120。图27示出图26的器具100,如上所述其连接到图22-24的气体接口组件。
图28是图26的器具100的实施例的截面视图。如上面所指出,器具100包括容器本体104、蛇形插入物112、弹簧114和器具盖106。器具盖106包括表面安装的隔离阀门108和110,以及优选表面安装的隔离阀门210。优选地,阀门108、210和110分别包括阀门节流块118、212和120。图28还示出阀门节流块的内部气体通道214。如上面所指出,阀门节流块120包括供应前体蒸汽和运载气体到气体接口组件180的气体出口128。
过滤器优选与阀门108、210和110中的每一个相关联。在图示说明的实施例中,器具盖106包括与每个阀门相关联的过滤器130(例如,如图17所示及如上所述)。应该认识到可以使用各种不同类型的过滤器。这些过滤器防止前体粒子逸出器具100。
尽管已经在某些实施例和示例的背景下公开了本发明,本领域技术人员将理解本发明超出特别公开的实施例并延伸到其他可替代实施例和/或用途以及明显的修改及其等价物。因此,本发明不希望受到此处特别公开的优选实施例的限制。

Claims (24)

1.一种化学反应物源器具,包括:
器具本体,其限定内部腔室,该内部腔室适于容纳固体或液体化学反应物,其中所述器具本体包括入口和出口,且其中所述腔室包括曲折路径,所述曲折路径被配置为包含所述化学反应物,所述曲折路径从所述入口延伸到所述出口;
通道,其在所述器具本体内,所述通道从所述器具本体的外部延伸到所述腔室;和
阀门,其直接附连到所述器具本体的表面并被配置为调节经过所述通道的流动。
2.如权利要求1所述的器具,其中所述阀门被连接到所述器具本体的所述表面且所述阀门与所述器具本体的所述表面之间没有任何管道。
3.如权利要求1所述的器具,其中所述阀门至少部分地与所述器具本体整体成形。
4.如权利要求1所述的器具,其中所述阀门包括:
阀门节流块,其安装到所述器具本体或与所述器具本体整体成形,所述节流块限定阀座并包括限定所述通道的内部气流管道,所述气流管道与所述阀座流体连通;和
可移动的限流器,其适于阻挡气流穿过所述阀座。
5.如权利要求1所述的器具,其中所述器具本体包括:
容器本体;和
器具盖,其适于接合所述本体以限定二者之间的所述腔室,所述通道在所述盖之内,所述阀门直接附连到所述盖。
6.如权利要求1所述的器具,其进一步包括在所述腔室内的蛇形插入物,所述蛇形插入物限定所述曲折路径。
7.如权利要求1所述的器具,其进一步包括在所述腔室内的托盘的组,所述托盘共同限定包括所述曲折路径的至少一部分的螺旋气流路径。
8.如权利要求5所述的器具,其中所述曲折路径被机械加工成所述容器本体或所述器具盖。
9.一种用于衬底蒸汽处理的气相反应器的气体输送系统,包括:
气相反应腔室,其用于处理衬底;
器具,其适于容纳固体或液体化学反应物;入口阀门,其连接到所述器具的大致平坦表面;出口阀门,其连接到所述器具的所述大致平坦表面;曲折气流路径,其从所述入口阀门穿过所述器具达到所述出口阀门,所述曲折气流路径被配置为传送气体从而接触容纳在所述器具内的固体或液体化学反应物;和
多个气体面板阀门,其包括在所述出口阀门下游并流动地插入在所述出口阀门与所述反应腔室之间的至少一个阀门;
其中每个所述气体面板阀门沿着大致平行于所述器具的所述平坦表面的平面放置,所述平面与所述器具的所述平坦表面之间的距离不超过大约10.0cm。
10.如权利要求9所述的气体输送系统,其进一步包括:
通风阀门,其连接到所述器具的所述平坦表面并沿着所述平面定位,所述通风阀门与所述气流路径流体连通;和
蒸汽排出部件,其在所述反应腔室的下游;
一个或多个管道,用于从所述通风阀门输送气流到所述排出部件,而不使其流过所述气体面板阀门或所述反应腔室。
11.一种化学反应物源器具,包括:
容器,其限定适于容纳固体或液体化学反应物的内部腔室,所述容器的壁具有从所述容器外部延伸到所述腔室的通道,其中所述容器包括入口和出口,且其中所述腔室包括曲折路径,所述曲折路径被配置为包含所述化学反应物,所述曲折路径从所述入口延伸到所述出口;
阀门,其附连到所述壁,所述阀门适于调节通过所述通道进入和来自所述腔室的气流;和
过滤器,其在所述壁中,所述过滤器适于阻止微粒物质流过所述通道。
12.如权利要求11所述的器具,其中所述阀门至少部分地与所述壁整体成形。
13.如权利要求11所述的器具,其中所述容器包括容器本体和适于接合所述容器本体的盖,从而在所述盖与所述本体之间限定所述腔室,所述壁包括所述盖的一部分。
14.如权利要求13所述的器具,其中所述盖的内表面包括接收所述过滤器的凹槽,所述通道的一端终结于所述凹槽中,且另一端和所述阀门流体连通,所述过滤器包括:
凸缘,其具有限定所述凸缘内的开口的内环壁,所述凸缘被定位在所述凹槽内;和
过滤材料,其基本充满所述凸缘的所述开口;
其中所述腔室内的气体在不流过所述过滤材料和所述凸缘中的所述开口的情况下不能流过所述通道。
15.一种用于衬底蒸汽处理的气相反应器的气体输送系统,包括:
气相反应腔室,其用于处理衬底;
器具,其适于容纳固体或液体化学反应物,其中所述器具包括入口和出口,所述器具包括曲折路径,所述曲折路径被配置为包含所述化学反应物,所述曲折路径从所述入口延伸到所述出口;
出口阀门,其连接到所述器具;
气体输送系统,其用于从所述出口阀门输送反应物气流到所述反应腔室;
蒸汽排出部件,其在所述反应腔室的下游;
通风阀门,其连接到所述器具;和
一个或多个管道,用于从所述通风阀门输送气流到所述排出部件,而不使其流过所述气体输送系统或所述反应腔室。
16.如权利要求15所述的气体输送系统,其中所述通风阀门直接连接到所述器具的壁上。
17.如权利要求16所述的气体输送系统,其中所述器具的所述壁具有从所述器具的外部延伸到所述器具的内部腔室的通道,所述内部腔室被配置为容纳所述固体或液体化学反应物,所述通风阀门被配置为调节穿过所述通道的气流,所述系统进一步包括在所述壁中的过滤器,所述过滤器适于阻止微粒物质流过所述通道。
18.一种用于将化学反应物源器具连接到用于衬底蒸汽处理的气相反应器的气体接口组件上的设备,包括:
器具,其具有适于容纳固体或液体化学反应物的腔室,所述器具包括与所述腔室流体连通的入口和出口,其中所述腔室包括曲折路径,所述曲折路径被配置为包含所述化学反应物,所述曲折路径从所述入口延伸到所述出口;
气相反应器的气体接口组件,所述气体接口组件具有适于连接到器具腔室的所述出口的气体入口;和
连接组件,用于将所述器具连接到所述气体接口组件,该连接组件包括:
轨道部件,其包括一个或多个细长轨道,所述细长轨道适于可移动地接合所述器具的一个或多个轨道接合构件;和
抬升组件,其被配置为在降低位置与升高位置之间垂直移动所述轨道部件;
其中当所述器具的一个或多个轨道接合构件与所述轨道部件的所述一个或多个轨道接合,且当所述抬升组件将所述轨道部件移动到其升高位置时,所述器具的出口将定位成与所述气体接口组件的所述气体入口基本直接流体连通。
19.如权利要求18所述的设备,其中所述一个或多个轨道接合构件包括对准的滚轮,且所述一个或多个轨道被配置为接收所述滚轮。
20.如权利要求18所述的设备,其中所述一个或多个轨道接合构件包括舌片,且所述一个或多个轨道被配置为可滑动地接收所述舌片。
21.如权利要求18所述的设备,其中所述气体接口组件包括加热器板,所述加热器板适于加热所述器具以及所述器具和所述气体接口组件的多个阀门。
22.如权利要求18所述的设备,其进一步包括:
入口阀门,其适于调节穿过所述器具的入口的气流;和
出口阀门,其适于调节穿过所述器具的出口的气流;
其中所述阀门直接附连到所述器具的表面。
23.如权利要求22所述的设备,其中所述阀门至少部分地与所述器具整体成形。
24.如权利要求22所述的设备,其中所述器具包括容器本体和适于接合所述容器本体以限定二者之间的所述腔室的盖,所述器具入口和器具出口被限定在所述盖之内,所述阀门附连到所述盖。
CN2007800377241A 2006-10-10 2007-10-10 前体输送系统 Active CN101522943B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US85088606P 2006-10-10 2006-10-10
US60/850,886 2006-10-10
PCT/US2007/081005 WO2008045972A2 (en) 2006-10-10 2007-10-10 Precursor delivery system

Publications (2)

Publication Number Publication Date
CN101522943A CN101522943A (zh) 2009-09-02
CN101522943B true CN101522943B (zh) 2013-04-24

Family

ID=39145020

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800377241A Active CN101522943B (zh) 2006-10-10 2007-10-10 前体输送系统

Country Status (6)

Country Link
US (3) US8137462B2 (zh)
JP (1) JP5073751B2 (zh)
KR (1) KR101480971B1 (zh)
CN (1) CN101522943B (zh)
TW (1) TWI426155B (zh)
WO (1) WO2008045972A2 (zh)

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9228259B2 (en) 2013-02-01 2016-01-05 Asm Ip Holding B.V. Method for treatment of deposition reactor
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9299595B2 (en) 2012-06-27 2016-03-29 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning

Families Citing this family (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US8951478B2 (en) * 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
JP5179739B2 (ja) * 2006-09-27 2013-04-10 東京エレクトロン株式会社 蒸着装置、蒸着装置の制御装置、蒸着装置の制御方法および蒸着装置の使用方法
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
WO2008045972A2 (en) 2006-10-10 2008-04-17 Asm America, Inc. Precursor delivery system
US9109287B2 (en) * 2006-10-19 2015-08-18 Air Products And Chemicals, Inc. Solid source container with inlet plenum
US20080276860A1 (en) * 2007-05-10 2008-11-13 Burrows Brian H Cross flow apparatus and method for hydride vapor phase deposition
US20080289575A1 (en) * 2007-05-24 2008-11-27 Burrows Brian H Methods and apparatus for depositing a group iii-v film using a hydride vapor phase epitaxy process
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8137468B2 (en) * 2008-03-17 2012-03-20 Applied Materials, Inc. Heated valve manifold for ampoule
US8741062B2 (en) 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
EP2199425A1 (fr) * 2008-12-18 2010-06-23 ArcelorMittal France Générateur de vapeur industriel pour le dépôt d'un revêtement d'alliage sur une bande métallique (II)
CN102326129A (zh) * 2009-03-04 2012-01-18 株式会社堀场Stec 气体供给装置
US20100267191A1 (en) * 2009-04-20 2010-10-21 Applied Materials, Inc. Plasma enhanced thermal evaporator
WO2010124174A2 (en) * 2009-04-24 2010-10-28 Applied Materials, Inc. Ampoule with integrated hybrid valve
US8877001B2 (en) * 2009-05-07 2014-11-04 Applied Materials, Inc. Shuttered gate valve
US20100290945A1 (en) * 2009-05-13 2010-11-18 Ce Ma Solution based zirconium precursors for atomic layer deposition
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101084275B1 (ko) * 2009-09-22 2011-11-16 삼성모바일디스플레이주식회사 소스 가스 공급 유닛, 이를 구비하는 증착 장치 및 방법
JP5323654B2 (ja) * 2009-11-30 2013-10-23 株式会社キッツエスシーティー 処理流体充填容器と処理流体充填容器一体型ブロックバルブ
TWI557261B (zh) * 2010-04-19 2016-11-11 Asm美國公司 先質輸送系統
US8524322B2 (en) 2010-12-28 2013-09-03 Asm International N.V. Combination CVD/ALD method and source
US9790594B2 (en) * 2010-12-28 2017-10-17 Asm Ip Holding B.V. Combination CVD/ALD method, source and pulse profile modification
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI830183B (zh) * 2011-10-05 2024-01-21 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
TWI458843B (zh) * 2011-10-06 2014-11-01 Ind Tech Res Inst 蒸鍍裝置與有機薄膜的形成方法
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9388492B2 (en) * 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
USD764423S1 (en) * 2014-03-05 2016-08-23 Hzo, Inc. Corrugated elements for defining longitudinal channels in a boat for a deposition apparatus
US9598766B2 (en) 2012-05-27 2017-03-21 Air Products And Chemicals, Inc. Vessel with filter
CN109972119A (zh) * 2012-05-31 2019-07-05 恩特格里斯公司 基于源试剂的用于批量沉积的高物质通量流体的输送
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9113570B2 (en) * 2012-10-31 2015-08-18 Tyco Electronics Services Gmbh Planar electronic device having a magnetic component
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10214817B2 (en) * 2013-10-16 2019-02-26 The Board Of Trustees Of The University Of Illinois Multi-metal films, alternating film multilayers, formation methods and deposition system
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101846763B1 (ko) * 2014-01-23 2018-04-06 울트라테크 인크. 증기 전달 시스템
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
KR101585054B1 (ko) * 2014-05-09 2016-01-14 한국생산기술연구원 액상 전구체 공급장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR20170041884A (ko) * 2014-08-11 2017-04-17 비코 인스트루먼츠 인코포레이티드 음향 가스 농도 감지 및 유동 제어를 위한 개선된 엔클로저
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) * 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN105714271B (zh) * 2014-12-22 2020-07-31 株式会社堀场Stec 汽化系统
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104789943A (zh) * 2015-04-01 2015-07-22 沈阳拓荆科技有限公司 控温型双气体通道均匀喷气喷淋板
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
USD786811S1 (en) * 2015-09-15 2017-05-16 Shenzhen coleder opto-electronics co, ltd LED electronic display
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
EP3162914A1 (en) * 2015-11-02 2017-05-03 IMEC vzw Apparatus and method for delivering a gaseous precursor to a reaction chamber
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
JP1560719S (zh) * 2015-12-01 2016-10-11
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
WO2018110545A1 (ja) * 2016-12-14 2018-06-21 株式会社堀場エステック 収容タンク、その収容タンクを備えた材料気化装置及び液体材料供給装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11946131B2 (en) * 2017-05-26 2024-04-02 Universal Display Corporation Sublimation cell with time stability of output vapor pressure
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10147597B1 (en) 2017-09-14 2018-12-04 Lam Research Corporation Turbulent flow spiral multi-zone precursor vaporizer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102607020B1 (ko) * 2017-09-19 2023-11-29 가부시키가이샤 호리바 에스텍 농도 제어 장치 및 재료 가스 공급 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
WO2019083761A1 (en) * 2017-10-23 2019-05-02 Applied Materials, Inc. LIQUID PRECURSOR FEEDING SYSTEM
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11166441B2 (en) * 2018-07-13 2021-11-09 Versum Materials Us, Llc Vapor delivery container with flow distributor
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11634812B2 (en) 2018-08-16 2023-04-25 Asm Ip Holding B.V. Solid source sublimator
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
USD887358S1 (en) * 2018-12-06 2020-06-16 Lofelt Gmbh Motor membrane
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FI130416B (en) * 2019-06-28 2023-08-21 Beneq Oy Precursor source arrangement and atomic layer growth apparatus
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10844483B1 (en) 2019-12-16 2020-11-24 Quantum Elements Development, Inc. Quantum printing methods
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11661653B2 (en) 2019-12-18 2023-05-30 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Vapor delivery systems for solid and liquid materials
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN111057600B (zh) * 2019-12-26 2020-12-11 郑州大学 一种水热炭化一体化工艺设备
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR102292288B1 (ko) * 2020-03-24 2021-08-24 주식회사 케이씨 얼라인 기능이 구비된 가스공급장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11519068B2 (en) * 2020-04-16 2022-12-06 Honda Motor Co., Ltd. Moisture governed growth method of atomic layer ribbons and nanoribbons of transition metal dichalcogenides
US11639546B2 (en) 2020-04-16 2023-05-02 Honda Motor Co., Ltd. Moisture governed growth method of atomic layer ribbons and nanoribbons of transition metal dichalcogenides
US11408073B2 (en) 2020-04-16 2022-08-09 Honda Motor Co., Ltd. Method for growth of atomic layer ribbons and nanoribbons of transition metal dichalcogenides
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
KR20230082679A (ko) * 2020-10-09 2023-06-08 램 리써치 코포레이션 증기 전달 디바이스
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220145456A1 (en) * 2020-11-09 2022-05-12 Applied Materials, Inc. Refillable large volume solid precursor sublimation vessel
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11578406B2 (en) 2020-12-08 2023-02-14 Applied Materials, Inc. Ampoule for a semiconductor manufacturing precursor
US11623871B2 (en) 2020-12-15 2023-04-11 Quantum Elements Development Inc. Rare earth metal instantiation
US11484941B2 (en) 2020-12-15 2022-11-01 Quantum Elements Development Inc. Metal macrostructures
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11584990B2 (en) 2021-07-02 2023-02-21 Applied Materials, Inc. Bottom fed sublimation bed for high saturation efficiency in semiconductor applications
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023037948A1 (ja) * 2021-09-09 2023-03-16 株式会社プロテリアル 気化器
CN113774359A (zh) * 2021-09-23 2021-12-10 江苏微导纳米科技股份有限公司 一种化学源瓶保温装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4883362A (en) * 1987-01-31 1989-11-28 U.S. Philips Corp. Device for enriching a carrier gas with the vapor of a sparingly volatile substance
US5199603A (en) * 1991-11-26 1993-04-06 Prescott Norman F Delivery system for organometallic compounds
CN1611636A (zh) * 2003-08-19 2005-05-04 气体产品与化学公司 输送前体物质的方法和容器

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4393013A (en) * 1970-05-20 1983-07-12 J. C. Schumacher Company Vapor mass flow control system
US4436674A (en) * 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
DE3339625A1 (de) 1983-11-02 1985-05-09 Philips Patentverwaltung Gmbh, 2000 Hamburg Vorrichtung zum anreichern eines traegergases mit dem dampf eines wenig fluechtigen stoffes
US4560462A (en) * 1984-06-22 1985-12-24 Westinghouse Electric Corp. Apparatus for coating nuclear fuel pellets with a burnable absorber
US4722298A (en) * 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US5071553A (en) * 1987-06-10 1991-12-10 Newlin Robert E Self retaining fluid filter
JPS6464314A (en) 1987-09-04 1989-03-10 Mitsubishi Electric Corp Sublimator
DE3801147A1 (de) * 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
JP2651530B2 (ja) 1988-04-15 1997-09-10 住友化学工業株式会社 気相成長用有機金属化合物供給装置
GB2234988B (en) * 1989-08-16 1993-12-08 Qpl Limited Improvements in vacuum deposition machines
IT1257434B (it) * 1992-12-04 1996-01-17 Cselt Centro Studi Lab Telecom Generatore di vapori per impianti di deposizione chimica da fase vapore
US5377429A (en) * 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
US5607002A (en) * 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5567127A (en) * 1994-11-09 1996-10-22 Wentz; Kennith W. Low noise air blower
JPH0940489A (ja) 1995-03-30 1997-02-10 Pioneer Electron Corp Mocvdの固体原料供給方法及び供給装置
TW327205B (en) * 1995-06-19 1998-02-21 Hitachi Ltd Heat exchanger
US5667682A (en) * 1995-10-25 1997-09-16 Water Renewal Systems L.P. Self-cleaning filtration apparatus
US5709753A (en) * 1995-10-27 1998-01-20 Specialty Coating Sysetms, Inc. Parylene deposition apparatus including a heated and cooled dimer crucible
EP0794266B1 (de) * 1996-03-06 2000-12-06 Alusuisse Technology & Management AG Vorrichtung zum Beschichten einer Substratfläche
US5732744A (en) * 1996-03-08 1998-03-31 Control Systems, Inc. Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components
JPH1025576A (ja) * 1996-04-05 1998-01-27 Dowa Mining Co Ltd Cvd成膜法における原料化合物の昇華方法
US5876503A (en) * 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
US5836483A (en) * 1997-02-05 1998-11-17 Aerotech Dental Systems, Inc. Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles
US6116257A (en) * 1997-03-28 2000-09-12 New Technology Management Co., Ltd. Micromotors, linear motors, micropumps, methods of using the same, microactuators, methods of controlling flow properties of fluids, and apparatuses for controlling flow properties of fluids
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6083321A (en) * 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
JP3684797B2 (ja) 1997-12-04 2005-08-17 株式会社デンソー 気相成長方法および気相成長装置
US6221306B1 (en) * 1998-03-20 2001-04-24 Nitrojection Corporation Pin-in-sleeve device for in-article gas assisted injection molding
US20010003603A1 (en) * 1998-07-28 2001-06-14 Kabushiki Kaisha Toshiba Cvd film formation method and apparatus using molded solid body and the molded solid body
JP2000265960A (ja) * 1999-03-15 2000-09-26 Toyota Autom Loom Works Ltd 流体機械
DE59914510D1 (de) * 1999-03-29 2007-11-08 Antec Solar Energy Ag Vorrichtung und Verfahren zur Beschichtung von Substraten durch Aufdampfen mittels eines PVD-Verfahrens
US6946034B1 (en) * 1999-08-04 2005-09-20 General Electric Company Electron beam physical vapor deposition apparatus
JP3909792B2 (ja) * 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
JP2001073144A (ja) * 1999-09-03 2001-03-21 Pioneer Electronic Corp 化学気相成長法における原料供給装置
DE10005820C1 (de) * 2000-02-10 2001-08-02 Schott Glas Gasversorungsvorrichtung für Precursoren geringen Dampfdrucks
FI117980B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä ohutkalvon kasvattamiseksi alustalle
US20020108670A1 (en) * 2001-02-12 2002-08-15 Baker John Eric High purity chemical container with external level sensor and removable dip tube
US6525288B2 (en) * 2001-03-20 2003-02-25 Richard B. Rehrig Gas lens assembly for a gas shielded arc welding torch
US7073773B2 (en) * 2001-03-27 2006-07-11 Invacare Corporation Rapid connection coupling
TW539822B (en) * 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
WO2003025245A1 (en) * 2001-09-14 2003-03-27 University Of Delaware Multiple-nozzle thermal evaporation source
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20050211172A1 (en) * 2002-03-08 2005-09-29 Freeman Dennis R Elongated thermal physical vapor deposition source with plural apertures
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
WO2004002909A1 (en) * 2002-06-28 2004-01-08 Pirelli & C. S.P.A. Method and device for vaporizing a liquid reactant in manufacturing a glass preform
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7025832B2 (en) * 2002-07-19 2006-04-11 Lg Electronics Inc. Source for thermal physical vapor deposition of organic electroluminescent layers
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
WO2004011695A2 (en) * 2002-07-30 2004-02-05 Asm America, Inc. Sublimation system employing carrier gas
US6868869B2 (en) * 2003-02-19 2005-03-22 Advanced Technology Materials, Inc. Sub-atmospheric pressure delivery of liquids, solids and low vapor pressure gases
JP2004353083A (ja) * 2003-05-08 2004-12-16 Sanyo Electric Co Ltd 蒸発装置
JP4185015B2 (ja) * 2003-05-12 2008-11-19 東京エレクトロン株式会社 気化原料の供給構造、原料気化器及び反応処理装置
US20050000428A1 (en) * 2003-05-16 2005-01-06 Shero Eric J. Method and apparatus for vaporizing and delivering reactant
EP1636400A1 (en) * 2003-05-27 2006-03-22 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US6909839B2 (en) * 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
DE102004015135A1 (de) * 2004-03-27 2005-10-13 Dr.Ing.H.C. F. Porsche Ag Wassergekühlter Zylinderkopf für eine mehrzylindrige Brennkraftmaschine
JP4537101B2 (ja) * 2004-03-29 2010-09-01 財団法人国際科学振興財団 液体材料供給装置、液体材料供給装置のための制御方法
US7488512B2 (en) * 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
US7638002B2 (en) * 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US20060185597A1 (en) * 2004-11-29 2006-08-24 Kenji Suzuki Film precursor evaporation system and method of using
US7484315B2 (en) * 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
US7708835B2 (en) * 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
US20060133955A1 (en) * 2004-12-17 2006-06-22 Peters David W Apparatus and method for delivering vapor phase reagent to a deposition chamber
US7531090B1 (en) * 2005-04-25 2009-05-12 Wix Filtration Corp Llc Fluid filter element
JP4001296B2 (ja) * 2005-08-25 2007-10-31 トッキ株式会社 有機材料の真空蒸着方法およびその装置
US20090087545A1 (en) * 2005-09-20 2009-04-02 Tadahiro Ohmi Film Forming Apparatus, Evaporating Jig, and Measurement Method
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
GB2432371B (en) 2005-11-17 2011-06-15 Epichem Ltd Improved bubbler for the transportation of substances by a carrier gas
US8951478B2 (en) * 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US7562672B2 (en) * 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US7278887B1 (en) * 2006-05-30 2007-10-09 John Mezzalingua Associates, Inc. Integrated filter connector
WO2007143743A2 (en) * 2006-06-09 2007-12-13 S.O.I.Tec Silicon On Insulator Technologies High volume delivery system for gallium trichloride
DE102006039826B4 (de) * 2006-08-25 2010-05-12 Hydac Filtertechnik Gmbh Filtervorrichtung, Filterelement sowie Verfahren zum Betrieb der Filtervorrichtung
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
EP2656893B1 (en) * 2006-09-06 2017-11-22 Donaldson Company, Inc. Filter cartridge and liquid filter assembly
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
WO2008045972A2 (en) * 2006-10-10 2008-04-17 Asm America, Inc. Precursor delivery system
US7833353B2 (en) * 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4883362A (en) * 1987-01-31 1989-11-28 U.S. Philips Corp. Device for enriching a carrier gas with the vapor of a sparingly volatile substance
US5199603A (en) * 1991-11-26 1993-04-06 Prescott Norman F Delivery system for organometallic compounds
CN1611636A (zh) * 2003-08-19 2005-05-04 气体产品与化学公司 输送前体物质的方法和容器

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9340874B2 (en) 2011-11-23 2016-05-17 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9299595B2 (en) 2012-06-27 2016-03-29 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9228259B2 (en) 2013-02-01 2016-01-05 Asm Ip Holding B.V. Method for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning

Also Published As

Publication number Publication date
USD614153S1 (en) 2010-04-20
KR20090086548A (ko) 2009-08-13
US20080085226A1 (en) 2008-04-10
US8137462B2 (en) 2012-03-20
KR101480971B1 (ko) 2015-01-09
WO2008045972A3 (en) 2008-10-02
JP2010506429A (ja) 2010-02-25
TWI426155B (zh) 2014-02-11
JP5073751B2 (ja) 2012-11-14
US20120156108A1 (en) 2012-06-21
WO2008045972A2 (en) 2008-04-17
CN101522943A (zh) 2009-09-02
US9593416B2 (en) 2017-03-14
TW200831698A (en) 2008-08-01

Similar Documents

Publication Publication Date Title
CN101522943B (zh) 前体输送系统
US8986456B2 (en) Precursor delivery system
CN102234790B (zh) 前体传输系统
CN103028270B (zh) 蒸汽递送容器和在容器内提供可汽化源材料的方法
US9034105B2 (en) Solid precursor sublimator
JP5883104B2 (ja) 容器から気相の前駆体を含む前駆体含有流体流を送出する方法
FI118805B (fi) Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US20050000428A1 (en) Method and apparatus for vaporizing and delivering reactant
EP3081668B1 (en) Vessel and method for delivery of precursor materials
KR102383971B1 (ko) 코발트 전구체
CN101905126B (zh) 有助于增进气体与汽化材料接触的方法和装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20210413

Address after: Holland Almere

Patentee after: ASM IP Holding B.V.

Address before: Arizona, USA

Patentee before: ASM AMERICA, Inc.