KR100500246B1 - 가스공급장치 - Google Patents

가스공급장치 Download PDF

Info

Publication number
KR100500246B1
KR100500246B1 KR10-2003-0022366A KR20030022366A KR100500246B1 KR 100500246 B1 KR100500246 B1 KR 100500246B1 KR 20030022366 A KR20030022366 A KR 20030022366A KR 100500246 B1 KR100500246 B1 KR 100500246B1
Authority
KR
South Korea
Prior art keywords
gas
gas supply
injection nozzle
supply device
adapter
Prior art date
Application number
KR10-2003-0022366A
Other languages
English (en)
Other versions
KR20040088242A (ko
Inventor
한규희
유상욱
이석찬
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2003-0022366A priority Critical patent/KR100500246B1/ko
Priority to JP2003349921A priority patent/JP4142545B2/ja
Priority to CNB200410001360XA priority patent/CN1263092C/zh
Priority to US10/801,852 priority patent/US7303141B2/en
Publication of KR20040088242A publication Critical patent/KR20040088242A/ko
Application granted granted Critical
Publication of KR100500246B1 publication Critical patent/KR100500246B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Abstract

본 발명은, 기판의 표면에 증착용 가스를 공급하기 위한 가스공급장치에 관한 것으로서, 그 내부를 따라 환상으로 형성된 가스공급로와, 상기 가스공급로에서 중심방향으로 형성된 복수의 가스분배로를 갖는 환상의 가스공급링과; 상기 각 가스분배로에 연통하는 가스분사노즐을 가지며, 상기 가스공급링의 내측에 탈착가능하게 결합되는 복수의 어댑터를 포함하며, 상기 복수의 어댑터는 상기 가스분사노즐의 분사형태를 달리하는 것을 특징으로 한다. 이에 의해, 다양한 기판에 최적으로 균일하게 가스를 분사시킬 수 있다.

Description

가스공급장치{GAS SUPPLYING APPARATUS}
본 발명은 가스공급장치에 관한 것으로서, 보다 상세하게는, 챔버 내에 위치한 기판의 표면에 증착용 가스를 공급하기 위한 구조를 개선한 가스공급장치에 관한 것이다.
가스공급장치는 반도체 제조공정의 챔버 내에 위치한 웨이퍼(wafer)와 같은 기판의 표면에 증착되는 가스를 공급하기 위한 장치이다.
일반적으로, 가스공급장치는 기판의 표면에 균일하게 가스가 분포되도록 가스를 공급하는 것이 중요하다.
이에, 이러한 가스공급장치에 관련된 선행기술이 미국특허 US6,143,078호의 CVD(chemical vapor deposition) 공정 챔버의 가스공급장치에 개시되어 있다. 이러한 종래의 기판에 얇은 막을 증착하기 위한 가스공급장치는 도 12에 도시된 바와 같이, 공정챔버 내의 가스공급링(410)에 마련된 복수의 포트와, 복수의 포트 중 일부에 배치되어 제1가스를 소정거리 분사시키기 위한 복수의 제1가스노즐(404)과, 복수의 포트 중 나머지에 배치되어 제2가스를 소정거리 분사시키기 위한 복수의 제2가스노즐(402)을 포함한다.
제1가스노즐(404) 및 제2가스노즐(402)은 각각 다른 각도로 가스공급링(410)에 고정되어 있다.
이에, 제1가스노즐(404) 및 제2가스노즐(402)을 통해 상이한 가스를 챔버내로 분사할 수 있게 된다.
그런데, 최근에는 다양한 용량의 웨이퍼와 같은 기판이 개발되고 있으며, 이에 따라 다양한 기판의 표면에 균일한 증착을 위해서는 다양한 가스분사위치 및 가스분사각도를 갖는 가스분사노즐이 필요하게 된다.
그러나, 이러한 종래의 가스공급장치는 가스공급링(410)에 장착된 제1가스노즐(404) 및 제2가스노즐(402)이 서로 상이하지만 고정된 각도를 이루고 있어, 다양한 기판에 대응하여 가스분사노즐의 분사위치나 분사각도를 다양하게 변화 시킬 수 없으므로 다양한 기판에 최적으로 균일한 분사를 할 수 없는 문제점이 있다.
따라서 본 발명의 목적은, 다양한 기판에 최적으로 균일하게 가스를 분사할 수 있는 가스공급장치를 제공하는 것이다.
상기 목적은, 본 발명에 따라, 기판의 표면에 증착용 가스를 공급하기 위한 가스공급장치에 있어서, 그 내부를 따라 환상으로 형성된 가스공급로와, 상기 가스공급로에서 중심방향으로 형성된 복수의 가스분배로를 갖는 환상의 가스공급링과; 상기 각 가스분배로에 연통하는 가스분사노즐을 가지며, 상기 가스공급링의 내측에 탈착가능하게 결합되는 복수의 어댑터를 포함하며, 상기 복수의 어댑터는 상기 가스분사노즐의 분사형태를 달리하는 것을 특징으로 하는 가스공급장치에 의해 달성된다.
여기서, 상기 가스분사노즐의 분사형태는 분사각도와 분사위치 중 적어도 어느 하나를 달리하는 것이 바람직하다.
상기 가스공급링의 상기 가스공급로 및 상기 복수의 가스분배로는 복수로 마련되며, 상기 어댑터는 상기 가스분사노즐과 연통되도록 상하방향으로 형성되며, 상기 가스분배로와 연통되는 가스연결로를 더 갖는 것이 바람직하다.
상기 가스분배로를 각각 선택적으로 차단할 수 있는 차단수단을 더 포함하는 것이 바람직하다.
상기 차단수단은 각 가스분배로에 삽입되어 상기 가스분배로를 차단할 수 있는 복수의 차단부재를 포함하는 것이 바람직하다.
상기 가스공급링에는 상기 각 어댑터를 수용하기 위한 복수의 어댑터수용부이 형성된 것이 바람직하다.
상기 어댑터수용부 내의 상기 각 가스분배로의 출구에는 상기 차단부재를 수용하기 위한 차단부수용부가 형성된 것이 바람직하다.
상기 가스분사노즐과 연통되도록 상기 각 어댑터에 착탈가능하게 결합된 복수의 보조분사노즐을 더 포함하는 것이 바람직하다.
상기 어댑터에는 상기 가스분사노즐과 연통되어 상기 보조분사노즐을 지지하는 보조분사노즐지지부가 마련된 것이 바람직하다.
상기 보조분사노즐은 상기 가스분사노즐의 가스분사방향과 소정의 각도를 이루는 것이 바람직하다.
상기 가스분사노즐은 상기 가스연결로에서 중심방향으로 수평되게 관통되는 것이 바람직하다.
상기 가스분사노즐은 상기 가스연결로에서 중심방향으로 하향 경사지게 관통되는 것이 바람직하다.
상기 가스분사노즐은 상기 가스연결로에서 중심방향으로 상향 경사지게 관통되는 것이 바람직하다.
설명에 앞서, 여러 실시예에 있어서, 동일한 구성을 가지는 구성요소에 대해서는 동일한 부호를 사용하여 대표적으로 제1실시예에서 설명하고, 그 외의 실시예에서는 제1실시예와 다른 구성에 대해서만 설명하기로 하다.
이하에서는, 첨부도면을 참조하여 본 발명에 대해 상세히 설명한다.
도 1은 본 발명의 제1실시예에 따른 가스공급장치가 마련된 챔버의 단면도이다. 이 도면에 도시된 바와 같이, 본 발명의 일실시예에 따른 챔버(1)는, 베이스(7)와, 베이스(7)의 상측에 마련된 환상의 가스공급장치(20)와, 가스공급장치(20)의 내측 중앙에 마련되어 웨이퍼(wafer)와 같은 기판(10)을 지지하는 기판지지대(11)와, 가스공급장치(20)의 상측에 마련된 세라믹판(3)과, 세라믹판(3)의 상측에 마련된 플라스마안테나(plasma antenna)(5)와, 외부에 위치한 기판(10)을 기판지지대(11)에 안착시킬 수 있도록 형성된 기판공급구(미도시)와, 가스공급장치(20)로부터 공급된 가스를 배출할 수 있게 마련된 가스배출부(15) 등을 포함한다.
플라스마안테나(5)는 세라믹판(3)의 상측에 코일형상으로 마련되어 교류전원이 공급되는 구리선(미도시)을 갖는다. 이러한 플라스마안테나(5)는 가스공급장치(20)로부터 챔버(1) 내로 공급되는 가스가 용이하게 기판에 증착되도록 플라스마상태로 만드는 역할을 한다.
도 2 내지 도 4에 도시된 바와 같이, 본 발명의 제1실시예에 따른 가스공급장치(20)는 그 내부에 형성된 가스공급로(23) 및 가스분배로(25)를 갖는 환상의 가스공급링(21)과, 각 가스분배로(25)에 연통하는 가스분사노즐(31)을 가지며 가스공급링(21)의 내측에 착탈가능하게 결합되는 복수의 어댑터(30)를 포함한다.
가스공급링(21)은 그 내부를 따라 환상으로 형성된 가스공급로(23)와, 가스공급로(23)에서 중심방향으로 관통된 복수의 가스분배로(25)를 갖는다. 그리고, 가스공급링(21)은 이러한 가스공급로(23)가 형성될 수 있게 상하로 분리가능하게 형성되는 것이 바람직하다. 또한, 가스공급링(21)에는 각 어댑터(30)를 수용하기 위한 복수의 어댑터수용부(27)가 형성되는 것이 바람직하다. 그리고, 가스공급링(21)은 복수의 가스분배로(25)를 선택적으로 차단할 수 있는 차단수단을 더 마련되는 것이 바람직하다.
가스공급로(23)는 복수의 상이한 가스를 공급할 수 있게 복수로 형성되는 것이 바람직하며, 본 실시예에서는 가스공급로(23)가 제1가스 및 제2가스를 공급할 수 있게 제1가스공급로(23a) 및 제2가스공급로(23b)로 마련된다. 그리고, 각 가스공급로(23)는 외부에 형성된 도시되지 않은 각 가스공급부와 연결되어 가스를 공급받게 된다.
가스분배로(25)는 제1가스를 제1가스공급로(23a)로부터 챔버(1) 내부로 공급하기 위해 제1가스공급로(23a)와 연통된 복수의 제1가스분배로(25a)와, 제2가스를 제2가스공급로(23b)로부터 챔버(1) 내부로 공급하기 위해 제2가스공급로(23b)와 연통된 복수의 제2가스분배로(25b)를 갖는다. 그리고, 차단수단은 가스분배로(25)를 차단할 수 있도록 가스분배로(25)의 단부에 마련되는 것이 바람직하다.
각 어댑터수용부(27)는 하나의 제1가스분배로(25a) 및 하나의 제2가스분배로(25b)와 연통가능하게 어댑터(30)의 형상에 대응하여 가스공급링(21)의 내측에 함몰된다. 그리고, 어댑터수용부(27)에는 어댑터(30)의 스크루체결공(35)과 스크루(37)에 의해 체결되도록 스크루공(28)이 형성된다.
차단수단은 각 가스분배로(25)에 삽입되어 가스분배로(25)를 차단할 수 있는 복수의 차단부재(40)를 포함하는 것이 바람직하다. 그러나, 차단수단은 복수의 가스분배로(25)를 선택적으로 차단할 수 있는 밸브장치일 수도 있음은 물론이다.
차단부재(40)는 어댑터수용부(27) 내의 각 가스분배로(25)의 출구에 형성되며 차단부재(40)에 대응하여 함몰된 차단부재수용부(29)에 삽입되는 것이 바람직하다. 그리고, 차단부재(40)는 원통형상으로 마련되며, 그 중앙영역에 가스의 누출을 방지할 수 있는 오링(41)이 마련되는 것이 바람직하다. 그리고, 이러한 오링(41)은 복수개 마련될 수도 있음은 물론이다.
차단부재수용부(29)는 제1가스분배로(25a)의 출구에 마련된 제1차단부재수용부(29a)와, 제2가스분배로(25b)의 출구에 마련된 제2차단부재수용부(29b)를 포함하는 것이 바람직하다.
이에, 차단부재(40)는 어댑터수용부(27)의 내측면에 형성된 제1차단부재수용부(29a) 및 제2차단부재수용부(29b)중 하나를 선택적으로 차단할 수 있게 된다.
어댑터(30)는 가스공급링(21)에 형성된 복수의 어댑터수용부(27)에 착탈가능하게 복수개 마련된다. 그리고, 어댑터(30)의 가스분사노즐(31)은 분사형태를 달리할 수 있게 다양한 형태로 제작된다. 이러한 가스분사노즐(31)의 분사형태는 분사각도와 분사위치 중 적어도 어느 하나를 달리하는 것이 바람직하다. 또한, 어댑터(30)는 가스분사노즐(31)과 연통되도록 상하방향으로 형성되며, 제1 및 제2가스분배로(25a,25b)와 연통되는 가스연결로(33)를 더 갖는 것이 바람직하다. 그리고, 어댑터(30)는 스크루(37)에 의해 어댑터수용부(27)의 스크루공(28)과 체결가능하게 한 쌍의 스크루체결공(35)을 갖는 것이 바람직하다. 이에, 어댑터(30)는 가스공급링(21)에 착탈가능하게 결합될 수 있다.
가스연결로(33)는 가스분배로(25)의 출구와 접하는 어댑터(30)에 상하방향으로 함몰되어, 제1가스분배로(25a) 및 제2가스분배로(25b)와 연통가능하게 마련될 뿐만 아니라 가스분사노즐(31)의 분사각도 및 분사위치를 다르게 형성할 수 있다.
이러한 다양한 가스분사노즐(31)이 형성된 어댑터(30)가 도 5a 내지 도 7b에 도시되어 있다.
도 5a 내지 도 5b에는, 가스분사노즐(31)이 가스연결로(33)에서 중심방향으로 수평되게 관통된 경우이다. 이러한 경우, 가스분사노즐(31)이 어댑터(30)의 중앙영역에 형성될 수 있으며(도 5a 참조), 가스분사노즐(31)이 어댑터(30)의 상부영역에 형성될 수 있으며(도 5a 참조), 가스분사노즐(31)이 어댑터(30)의 하부영역에 형성될 수도 있음은 물론이다(도 5a 참조).
도 6a 및 도 6b에는, 가스분사노즐(31)이 가스연결로(33)에서 중심방향으로 하향 경사지게 관통된 경우이다. 이러한 경우, 가스분사노즐(31)이 어댑터(30)의 하부영역에 형성할 수 있으며(도 6a 참조), 가스분사노즐(31)이 어댑터(30)의 상부영역에 형성할 수도 있음은 물론이다(도 6b 참조).
도 7a 및 도 7b에는, 가스분사노즐(31)이 가스연결로(33)에서 중심방향으로 상향 경사지게 관통된 경우이다. 이러한 경우, 가스분사노즐(31)이 어댑터(30)의 하부영역에 형성할 수 있으며(도 7a 참조), 가스분사노즐(31)이 어댑터(30)의 상부영역에 형성할 수도 있음은 물론이다(도 7b 참조).
이러한 구성에 의해, 본 발명의 제1실시예에 따른 가스공급장치의 결합 및 작동 과정을 살펴보면 다음과 같다.
우선, 차단부재(40)를 이용하여 각 어댑터수용부(29)에 마련된 제1가스분배로(25a) 및 제2가스분배로(25b) 중 어느 하나를 차단한다. 그리고, 전술한 바와 같은 다양한 형태의 가스분사노즐(31)이 형성된 어댑터(30)를 선택적으로 각 어댑터수용부(27)에 결합하다. 이에, 기판지지대(11)에 위치한 기판(10)에 대해 분사각도 및 분사위치를 선택하여 가스를 분사할 수 있게 된다. 그리고, 기판(10)의 종류가 변경될 때에는, 다양한 형태의 어댑터(30)를 적용하여 실험해 봄으로써, 가장 최적의 분사형태를 갖는 어댑터(30)를 선택할 수 있게 된다.
이에, 본 발명의 제1실시예에 따른 가스공급장치(20)는 다양한 형태의 가스분사노즐(31)이 형성된 어댑터(30)를 선택적으로 용이하게 착탈시킬 수 있으며, 다양한 기판(10)에 최적으로 균일하게 가스를 분사시킬 수 있다.
도 8 및 도 9는 본 발명의 제2실시예에 따른 가스공급장치의 사시도 및 부분 분해 사시도이다.
이들 도면에 도시된 바와 같이, 제2실시예는 어댑터(30)의 가스분사노즐(31)이 분사되는 출구영역에 가스분사노즐(31)과 연통되도록 각 어댑터(30)에 착탈가능하게 결합된 복수의 보조분사노즐(50)이 더 마련된다는 것이 제1실시예의 차이점이다.
보조분사노즐(50)은 소정의 길이를 형성하고 있다. 그리고, 어댑터(51)에는 가스분사노즐(31)과 연통되어 보조분사노즐(50)을 지지하는 보조분사노즐지지부(51)가 더 마련되는 것이 바람직하다.
보조분사노즐지지부(51)는 어댑터(30)에 대해 다양한 각도로 마련될 수도 있음은 물론이다(도 10a 내지 도 10c 참조).
도 10a에는, 보조분사노즐(50)이 어댑터(30)로부터 수평방향으로 가스를 분사할 수 있도록 보조분사노즐지지부(51)가 형성된다. 도 10b에는, 보조분사노즐(50)이 어댑터(30)로부터 하향 경사지게 가스를 분사할 수 있도록 보조분사노즐지지부(51)가 형성된다. 도 10c에는, 보조분사노즐(50)이 어댑터(30)로부터 상향 경사지게 가스를 분사할 수 있도록 보조분사노즐지지부(51)가 형성된다.
그리고, 도 10a 내지 도 10c에서는 중앙영역에서 수평으로 가스가 분사되는 가스분사노즐(31)이 형성된 어댑터(30)에 보조분사노즐지지부(51)가 형성된 경우만을 도시하였는데, 이러한 보조분사노즐지지부(51)가 전술한 제1실시예의 다른 분사형태를 갖는 어댑터(30)에도 형성될 수 있음은 물론이다.
이러한 구성에 의해, 본 발명의 제2실시예에 따른 가스공급장치도 본 발명의 목적을 달성할 수 있음은 물론이고, 보조분사노즐(50)이 소정의 길이를 가지고 있으므로 더욱 정확하게 기판에 가스를 분사시킬 수 있다.
도 11은 본 발명의 제3실시예에 따른 가스공급장치의 사시도이다. 이 도면에 도시된 바와 같이, 제3실시예는 가스공급링(21)에 하나의 가스공급로(23c)가 형성되며, 이러한 하나의 가스공급로(23c)에 연통된 복수의 가스분배로(25c)가 마련된다는 점이 전술한 실시예들과의 차이점이다.
이러한 경우에도, 제1실시예와 같이 다양한 형태의 어댑터(30)를 선택적으로 사용할 수 있으며, 제2실시예와 같이 보조분사노즐(50)을 사용할 수 있음은 물론이다. 이에, 본 발명의 제3실시예에 따른 가스공급장치도 역시 본 발명의 목적을 달성할 수 있다.
이와 같이, 본 발명에 따른 기판의 표면에 증착용 가스를 공급하기 위한 가스공급장치는, 그 내부를 따라 환상으로 형성된 가스공급로와 가스공급로에서 중심방향으로 형성된 복수의 가스분배로를 갖는 환상의 가스공급링과, 각 가스분배로에 연통하는 가스분사노즐을 가지며 가스공급링의 내측에 탈착가능하게 결합되는 복수의 어댑터를 포함하며, 복수의 어댑터가 가스분사노즐의 분사형태를 달리함으로써, 다양한 기판에 최적으로 균일하게 가스를 분사시킬 수 있다.
이상에서 설명한 바와 같이, 본 발명에 따르면, 착탈이 용이하며 다양한 분사형태를 갖는 가스분사노즐이 형성된 어댑터를 마련하여 다양한 기판에 최적으로 균일하게 가스를 분사시킬 수 있다.
그리고, 보조분사노즐은 더 마련함으로써, 더욱 정확하게 기판에 가스를 분사시킬 수 있다.
도 1은 본 발명의 제1실시예에 따른 가스공급장치가 마련된 챔버의 단면도,
도 2는 도 1의 가스공급장치의 부분 절취 사시도,
도 3은 도 2의 가스공급장치의 부분 분해 사시도,
도 4는 도 2의 가스공급장치의 단면도,
도 5a 내지 도 7b는 도 2의 가스공급장치에 장착되는 어댑터의 가스분사노즐의 형태를 달리한 단면도,
도 8은 본 발명의 제2실시예에 따른 가스공급장치의 부분 사시도,
도 9는 도 8의 가스공급장치의 부분 분해 사시도,
도 10a 내지 도 10c는 도 8의 가스공급장치에 장착되는 어댑터의 보조분사노즐의 형태를 달리한 사시도,
도 11은 본 발명의 제3실시예에 따른 가스공급장치의 부분 절취 사시도,
도 12는 종래의 가스공급장치의 가스공급링의 사시도이다.
<도면의 주요부분에 대한 부호의 설명>
1 : 챔버 3 : 세라믹판
5 : 플라스마안테나 7 : 베이스
10 : 기판 11 : 기판지지대
15 : 가스배출부 20 : 가스공급장치
21 : 가스공급링 23 : 가스공급로
25 : 가스분배로 27 : 어댑터수용부
28 : 스크루공 29 : 차단부재수용부
30 : 어댑터 31 : 가스분사노즐
33 : 가스연결로 35 : 스크루체결공
40 : 차단부재 41 : 오링
50 : 보조분사노즐 51 : 보조분사노즐지지부

Claims (14)

  1. 기판의 표면에 증착용 가스를 공급하기 위한 가스공급장치에 있어서,
    그 내부를 따라 환상으로 형성된 가스공급로와, 상기 가스공급로에서 중심방향으로 형성된 복수의 가스분배로를 갖는 환상의 가스공급링과;
    상기 각 가스분배로에 연통하는 가스분사노즐을 가지며, 상기 가스공급링의 내측에 탈착가능하게 결합되는 복수의 어댑터를 포함하며,
    상기 복수의 어댑터는 상기 가스분사노즐의 분사형태를 달리하는 것을 특징으로 하는 가스공급장치.
  2. 제1항에 있어서,
    상기 가스분사노즐의 분사형태는 분사각도와 분사위치 중 어느 하나를 달리하는 것을 특징으로 하는 가스공급장치.
  3. 제1항에 있어서,
    상기 가스분사노즐의 분사형태는 분사각도 및 분사위치를 달리하는 것을 특징으로 하는 가스공급장치.
  4. 제2항 또는 제3항에 있어서,
    상기 가스공급링의 상기 가스공급로는 복수로 마련되며,
    상기 어댑터는 상기 가스분사노즐과 연통되도록 상하방향으로 형성되며, 상기 가스분배로와 연통되는 가스연결로를 더 갖는 것을 특징으로 하는 가스공급장치.
  5. 제4항에 있어서,
    상기 가스분배로를 각각 선택적으로 차단할 수 있는 차단수단을 더 포함하는 것을 특징으로 하는 가스공급장치.
  6. 제5항에 있어서,
    상기 차단수단은 각 가스분배로에 삽입되어 상기 가스분배로를 차단할 수 있는 복수의 차단부재를 포함하는 것을 특징으로 하는 가스공급장치.
  7. 제6항에 있어서,
    상기 가스공급링에는 상기 각 어댑터를 수용하기 위한 복수의 어댑터수용부이 형성된 것을 특징으로 하는 가스공급장치.
  8. 제7항에 있어서,
    상기 어댑터수용부 내의 상기 각 가스분배로의 출구에는 상기 차단부재를 수용하기 위한 차단부재수용부가 형성된 것을 특징으로 하는 가스공급장치.
  9. 제8항에 있어서,
    상기 가스분사노즐과 연통되도록 상기 각 어댑터에 착탈가능하게 결합된 복수의 보조분사노즐을 더 포함하는 것을 특징으로 하는 가스공급장치.
  10. 제9항에 있어서,
    상기 어댑터에는 상기 가스분사노즐과 연통되어 상기 보조분사노즐을 지지하는 보조분사노즐지지부가 마련된 것을 특징으로 하는 가스공급장치.
  11. 제10항에 있어서,
    상기 보조분사노즐은 상기 가스분사노즐의 가스분사방향과 소정의 각도를 이루는 것을 특징으로 하는 가스공급장치.
  12. 제4항에 있어서,
    상기 가스분사노즐은 상기 가스연결로에서 중심방향으로 수평되게 관통되는 것을 특징으로 하는 가스공급장치.
  13. 제4항에 있어서,
    상기 가스분사노즐은 상기 가스연결로에서 중심방향으로 하향 경사지게 관통되는 것을 특징으로 하는 가스공급장치.
  14. 제4항에 있어서,
    상기 가스분사노즐은 상기 가스연결로에서 중심방향으로 상향 경사지게 관통되는 것을 특징으로 하는 가스공급장치.
KR10-2003-0022366A 2003-04-09 2003-04-09 가스공급장치 KR100500246B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR10-2003-0022366A KR100500246B1 (ko) 2003-04-09 2003-04-09 가스공급장치
JP2003349921A JP4142545B2 (ja) 2003-04-09 2003-10-08 ガス供給装置
CNB200410001360XA CN1263092C (zh) 2003-04-09 2004-01-07 供气装置
US10/801,852 US7303141B2 (en) 2003-04-09 2004-03-17 Gas supplying apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2003-0022366A KR100500246B1 (ko) 2003-04-09 2003-04-09 가스공급장치

Publications (2)

Publication Number Publication Date
KR20040088242A KR20040088242A (ko) 2004-10-16
KR100500246B1 true KR100500246B1 (ko) 2005-07-11

Family

ID=33308279

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-0022366A KR100500246B1 (ko) 2003-04-09 2003-04-09 가스공급장치

Country Status (4)

Country Link
US (1) US7303141B2 (ko)
JP (1) JP4142545B2 (ko)
KR (1) KR100500246B1 (ko)
CN (1) CN1263092C (ko)

Families Citing this family (325)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060059305A (ko) * 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
ATE491220T1 (de) * 2005-10-05 2010-12-15 Pva Tepla Ag Plasmaätzverfahren und ätzkammer
US7794667B2 (en) * 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
CN101369515B (zh) * 2007-08-16 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室
CN101465276B (zh) * 2007-12-19 2012-07-04 北京北方微电子基地设备工艺研究中心有限责任公司 一种进气装置及应用该进气装置的半导体处理设备
US8721836B2 (en) * 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9297072B2 (en) * 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110097487A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
KR101092122B1 (ko) * 2010-02-23 2011-12-12 주식회사 디엠에스 에칭 프로파일 제어를 위한 가스 인젝션 시스템
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
EP2646605A4 (en) * 2010-11-30 2015-11-18 Socpra Sciences Et Génie S E C EPITAXIAL DEPOSITION APPARATUS, GAS INJECTORS AND CHEMICAL VAPOR MANAGEMENT SYSTEM ASSOCIATED WITH SAME
US20120152900A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods and apparatus for gas delivery into plasma processing chambers
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9249367B2 (en) * 2012-07-06 2016-02-02 Gas Technology Institute Injector having interchangeable injector orifices
US20140038421A1 (en) * 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition Chamber and Injector
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102152858B1 (ko) * 2013-03-12 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN104752274B (zh) * 2013-12-29 2017-12-19 北京北方华创微电子装备有限公司 工艺腔室以及半导体加工设备
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN106663606A (zh) * 2014-06-20 2017-05-10 应用材料公司 用于将气体注入外延腔室的设备
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
KR20160021958A (ko) * 2014-08-18 2016-02-29 삼성전자주식회사 플라즈마 처리 장치 및 기판 처리 방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016200568A1 (en) * 2015-06-12 2016-12-15 Applied Materials, Inc. An injector for semiconductor epitaxy growth
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP7166759B2 (ja) * 2015-12-04 2022-11-08 アプライド マテリアルズ インコーポレイテッド Hdp-cvdチャンバのアーク発生を防止するための高度なコーティング方法および材料
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) * 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
WO2018022137A1 (en) * 2016-07-28 2018-02-01 Applied Materials, Inc. Gas purge system and method for outgassing control
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180086669A (ko) * 2017-01-23 2018-08-01 에드워드 코리아 주식회사 질소 산화물 감소 장치 및 가스 처리 장치
KR102646623B1 (ko) 2017-01-23 2024-03-11 에드워드 코리아 주식회사 플라즈마 발생 장치 및 가스 처리 장치
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
CN107012447B (zh) * 2017-04-20 2019-09-17 京东方科技集团股份有限公司 一种扩散装置和沉积腔室
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP1648531S (ko) * 2019-01-28 2019-12-23
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202230438A (zh) * 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 氣體供給環及基板處理裝置
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115178117B (zh) * 2022-07-06 2024-01-16 中国计量大学 一种搭载于多媒体设备的适用场景气味混配装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69024719T2 (de) 1989-08-14 1996-10-02 Applied Materials Inc Gasverteilungssystem und Verfahren zur Benutzung dieses Systems
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
JP2000514136A (ja) * 1996-06-28 2000-10-24 ラム リサーチ コーポレイション 高密度プラズマ化学蒸着装置および方法
US6012591A (en) 1997-01-23 2000-01-11 Brandenberg; Carl Brock Apparatus for supporting modular and cooperating components
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6187133B1 (en) 1998-05-29 2001-02-13 Applied Materials, Inc. Gas manifold for uniform gas distribution and photochemistry
US6143078A (en) 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6486081B1 (en) 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR20010019989A (ko) 1999-08-31 2001-03-15 윤종용 반도체 공정 챔버 내의 반응 가스 공급 장치
KR200205574Y1 (ko) 2000-07-07 2000-12-01 엘지전자주식회사 무접점 충전식 리모트 콘트롤러
US6470946B2 (en) 2001-02-06 2002-10-29 Anadigics, Inc. Wafer demount gas distribution tool
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces

Also Published As

Publication number Publication date
JP4142545B2 (ja) 2008-09-03
CN1536612A (zh) 2004-10-13
JP2004307990A (ja) 2004-11-04
US20040217217A1 (en) 2004-11-04
CN1263092C (zh) 2006-07-05
KR20040088242A (ko) 2004-10-16
US7303141B2 (en) 2007-12-04

Similar Documents

Publication Publication Date Title
KR100500246B1 (ko) 가스공급장치
TWI731078B (zh) 下游反應器中之邊緣蝕刻率控制用可調整側邊氣體充氣部
US10825659B2 (en) Substrate processing chamber including multiple gas injection points and dual injector
KR102122113B1 (ko) 튜닝가능한 가스 흐름 제어를 위한 가스 스플리터를 포함하는 가스 공급 전달 장치
KR100862658B1 (ko) 반도체 처리 시스템의 가스 주입 장치
US6015591A (en) Deposition method
KR100782369B1 (ko) 반도체 제조장치
KR100509231B1 (ko) 박막증착용 반응용기
KR100661198B1 (ko) 반도체 웨이퍼 가공 장치 및 방법
KR20060059305A (ko) 반도체 공정 장비
KR20060107683A (ko) 화학 기상 증착 장치
KR20080013552A (ko) 플라즈마 처리장치
KR20100071604A (ko) 분사각도의 조절이 가능한 분사노즐을 가지는 고밀도 플라즈마 화학기상증착장치
KR20070021637A (ko) 샤워 헤드 및 샤워 헤드를 포함하는 기판 처리 장치
KR20110021624A (ko) 원료 물질 공급 장치 및 이를 구비하는 기판 처리 장치
KR20080000990A (ko) 기판처리장치
KR20010104572A (ko) 화학기상 증착장치
KR102494263B1 (ko) 기판처리장치
US20220181128A1 (en) Apparatus for cleaning plasma chambers
JP2023512451A (ja) 溝の輪郭を最適化するために複数のゾーンを有するガス分配プレート
KR20230080481A (ko) 통합된 전환 (divert) 플로우 경로를 갖는 샤워헤드
KR20220068294A (ko) 가스 공급 구조물 및 이를 구비하는 플라즈마 증착장치
KR20090062717A (ko) 가스 분사 장치 및 이를 포함하는 기판 처리 장치
KR20010078621A (ko) 화학기상증착장치
KR20170090639A (ko) 박막증착장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140530

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee