JP4142545B2 - ガス供給装置 - Google Patents

ガス供給装置 Download PDF

Info

Publication number
JP4142545B2
JP4142545B2 JP2003349921A JP2003349921A JP4142545B2 JP 4142545 B2 JP4142545 B2 JP 4142545B2 JP 2003349921 A JP2003349921 A JP 2003349921A JP 2003349921 A JP2003349921 A JP 2003349921A JP 4142545 B2 JP4142545 B2 JP 4142545B2
Authority
JP
Japan
Prior art keywords
gas
gas supply
injection nozzle
adapter
supply device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003349921A
Other languages
English (en)
Other versions
JP2004307990A (ja
Inventor
奎熙 韓
尚▲ウー▼ 劉
錫燦 李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2004307990A publication Critical patent/JP2004307990A/ja
Application granted granted Critical
Publication of JP4142545B2 publication Critical patent/JP4142545B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Nozzles (AREA)
  • Plasma Technology (AREA)

Description

本発明は、ガス供給装置にかかり、さらに詳しくは、チャンバー内に位置した基板の表面に蒸着用ガスを供給するための構造を改善したガス供給装置に関する。
ガス供給装置は、半導体製造工程のチャンバー内に位置したウェーハのような基板の表面に蒸着されるガスを供給するための装置である。
一般に、ガス供給装置は、基板の表面に均一にガスが分布されるようにガスを供給することが重要である。
これにより、このようなガス供給装置に関する先行技術が米国特許第6,143,078号のCVD(Chemical Vapor Deposition)工程のチャンバーのガス供給装置に開示されている。このような従来の基板に薄い膜を蒸着するためのガス供給装置は、図12に示すように、工程チャンバー内のガス供給リング410に設けられた複数のポートと、複数のポートの中の一部に配置されて第1ガスを所定の距離に噴射させるための複数の第1ガスノズル404と、複数のポートの中で残りに配置されて第2ガスを所定の距離に噴射させるための複数の第2ガスノズル402と、を含む。
第1ガスノズル404及び第2ガスノズル402は、それぞれ異なる角度にガス供給リング410に固定されている。
これにより、第1ガスノズル404及び第2ガスノズル402を通じて異なるガスをチャンバー内に噴射することができるようになる。
ところが、最近には、様々なサイズのウェーハのような基板が開発されており、これにより様々な基板の表面に均一の蒸着のためには様々なガス噴射位置及びガス噴射角度を有するガス噴射ノズルが必要になる。
ところが、このような従来のガス供給装置は、ガス供給リング410に装着された第1ガスノズル404及び第2ガスノズル402が相互に異なるが固定された角度をなしているので、様々な基板に対応してガス噴射ノズルの噴射位置や噴射角度を様々に変化させることができないので、多様な基板に最適に均一な噴射をすることができないという問題がある。
米国特許第6,143,078号明細書
本発明の目的は、様々な基板に最適に均一にガスを噴射することができるガス供給装置を提供することである。
上記目的を達成するために、本発明は、基板の表面に蒸着用ガスを供給するためのガス供給装置において、その内部に沿って環状に形成されたガス供給路と、前記ガス供給路から中心方向に形成された複数のガス分配路と、を有する環状のガス供給リングと;前記各ガス分配路に連通するガス噴射ノズルを有するとともに前記ガス供給リングの内側に脱着できるように結合されている、複数のアダプターとを含み、前記複数のアダプターは、前記ガス噴射ノズルの噴射形態が噴射角度と噴射位置との中の少なくともいずれか一つが異なり、前記ガス供給リングの前記ガス供給路は、複数設けられ、前記アダプターは、前記ガス噴射ノズルと連通されるように上下方向に形成されているとともに前記ガス分配路と連通される、ガス連結路と、前記ガス分配路をそれぞれ選択的に遮断することができる遮断手段と、をさらに有することを特徴とする。
前記遮断手段は、各ガス分配路に挿入されて前記ガス分配路を遮断することができる複数の遮断部材を含むことが好ましい。
前記ガス供給リングには、前記各アダプターを収容するための複数のアダプター収容部が形成されていることが好ましい。
前記アダプター収容部の内の前記各ガス分配路の出口には、前記遮断部材を収容するための遮断部収容部が形成されていることが好ましい。
前記ガス噴射ノズルと連通されるように前記各アダプターに着脱できるように結合された複数の補助噴射ノズルをさらに含むことが好ましい。
前記アダプターには、前記ガス噴射ノズルと連通されて前記補助噴射ノズルを支持する補助噴射ノズル支持部が設けられたことが好ましい。
前記補助噴射ノズルは、前記ガス噴射ノズルと所定の角度をなすことが好ましい。
前記ガス噴射ノズルは、前記ガス連結路から水平に延長されていることが好ましい。
前記ガス噴射ノズルは、前記ガス連結路から下向きに延長されていることが好ましい。
前記噴射ノズルは、前記ガス連結路から上向きに傾斜に延長されることが好ましい。
前述したように、本発明によると、着脱が容易であり、様々な噴射形態を有するガス噴射ノズルが形成されたアダプターを設けて様々な基板に最適に均一にガスを噴射させることができる。
以下、添付の図面を参照して本発明を詳細に説明する。
図1は、本発明の第1実施形態によるガス供給装置が設けられたチャンバーの断面図である。この図面に示すように、チャンバー1は、ベース7と、ベース7の上側に設けられた環状のガス供給装置20と、ガス供給装置20の内側の中央に設けられてウェーハのような基板10を支持する基板支持台11と、ガス供給装置20の上側に設けられたセラミック板3と、セラミック板3の上側に設けられたプラズマアンテナ5と、外部に位置した基板10を基板支持台11に安着させることができるように設けられた基板供給口(図示せず)と、ガス供給装置20から供給されたガスを排出することができるように設けられたガス排出部15等を含む。
プラズマアンテナ5は、セラミック板3の上側にコイル形状の銅線からなって交流電源が供給される。このようなプラズマアンテナ5は、ガス供給装置20からチャンバー1の内に供給されるガスが容易に基板10に蒸着されるようにプラズマ状態に作る役割をする。
図2乃至図4に示すように、本発明の第1実施形態によるガス供給装置20は、その内部に形成されたガス供給路23及びガス分配路25を有する環状のガス供給リング21と、各ガス分配路25に連通されるガス噴射ノズル31と、ガス供給リング21の内側に着脱できるように結合される複数のアダプター30と、を含む。
ガス供給路23は、ガス供給リング21の内部に沿って環状に形成されており、複数のガス分配路25は、ガス供給路23から中心方向に貫通されている。また、ガス供給リング21は、このようなガス供給路23が形成されることができるように上下に分離することができるように形成されることが好ましい。また、ガス供給リング21には、各アダプター30を収容するための複数のアダプター収容部27が形成されていることが好ましい。また、ガス供給リング21は、複数のガス分配路25を選択的に遮断することができる遮断手段をさらに設けることが好ましい。
ガス供給路23は、複数の異なるガスを供給することができるように複数形成されることが好ましく、本実施形態ではガス供給路23が第1ガス及び第2ガスを供給することができるように第1ガス供給路23a及び第2ガス供給路23bからなる。また、各ガス供給路23は、外部に設けられた各ガス供給部(図示せず)と連結されてガスが供給される。
ガス分配路25は、第1ガスを第1ガス供給路23aからチャンバー1内部に供給するために第1ガス供給路23aと連通された複数の第1ガス分配路25aと、第2ガスを第2ガス供給路23bからチャンバー1内部に供給するために第2ガス供給路23bと連通された複数の第2ガス分配路25bと、を有する。また、遮断手段は、ガス分配路25を遮断することができるようにガス分配路25の端部に設けられることが好ましい。
各アダプター収容部27は、一つの第1ガス分配路25a及び一つの第2ガス分配路25bと連通できるようにアダプター30の形状に対応してガス供給リング21の内側に陥没される。また、アダプター収容部27には、アダプター30のスクリュー締結孔35とスクリュー37により締結されるようにスクリュー孔28が形成される。
遮断手段は、各ガス分配路25に挿入されてガス分配路25を遮断することができる複数の遮断部材40を含むことが好ましい。しかし、遮断手段は、複数のガス分配路25を選択的に遮断することができる弁装置であることもできる。
遮断部材40は、アダプター収容部27の内の各ガス分配路25の出口に設けられて遮断部材40に対応して陥没された遮断部材収容部29に挿入されることが好ましい。また、遮断部材40は、円筒形状に設けられ、その中央領域にガスの漏出を防止することができるO-リングが設けられることが好ましい。また、このようなO-リング41は、複数個設けられることもできる。
遮断部材収容部29は、第1ガス分配路25aの出口に設けられた第1遮断部材収容部29aと、第2ガス分配路25bの出口に設けられた第2遮断部材収容部29bを含むことが好ましい。
これにより、遮断部材40は、アダプター収容部27の内側面に形成された第1遮断部材収容部29a及び第2遮断部材収容部29bの中の一つを選択的に遮断することができるようになる。
アダプター30は、ガス供給リング21に形成された複数のアダプター収容部27に着脱できるように複数個が設けられる。また、アダプター30のガス噴射ノズル31は、噴射形態が異なることができるように様々な形態に製作される。このようなガス噴射ノズル31の噴射形態は、噴射角度と噴射位置の中で少なくともいずれか一つが異なることが好ましい。また、アダプター30は、ガス噴射ノズル31と連通されるように上下方向に配置され、第1及び第2ガス分配路25a、25bと連通されるガス連結路33をさらに有することが好ましい。また、アダプター30は、スクリュー37によりアダプター収容部27のスクリュー孔28と締結できるように一対のスクリュー締結孔35を有することが好ましい。これによりアダプター30は、ガス供給リング21に着脱できるように結合されることができる。
ガス連結路33は、ガス分配路25の出口と接するアダプター30に上下方向に陥没される。第1ガス分配路25a及び第2ガス分配路25bとガス分配路31とを連結することができるだけでなく、ガス噴射ノズル31の噴射位置が異なるように形成することができる。
このような様々なガス噴射ノズル31が形成されたアダプター30が図5a乃至図7bに示されている。
図5a乃至図5cには、ガス噴射ノズル31がガス連結路33から中心方向に水平以貫通された場合である。このような場合、ガス噴射ノズル31がアダプター30の中央領域に形成されることができ(図5a参照)、ガス噴射ノズル31がアダプター30の上部領域に形成されることができ(図5b参照)、ガス噴射ノズル31がアダプター30の下部領域に形成されることもできる(図5c参照)。
図6a及び図6bには、ガス噴射ノズル31がガス連結路33から中心方向に下向き傾斜に貫通された場合である。このような場合、ガス噴射ノズル31をアダプター30の下部領域に形成することもでき(図6a参照)、ガス噴射ノズル31をアダプター30の上部の領域に形成することもできる(図6b参照)。
図7a及び図7bには、ガス噴射ノズル31がガス連結路33から中心方向に上向き傾斜に貫通された場合である。このような場合、ガス噴射ノズル31をアダプター30の下部領域に形成することができ(図7a参照)、ガス噴射ノズル31をアダプター30の上部領域に形成することもできる(図7b参照)。
このような構成により、本発明の第1実施形態によるガス供給装置の結合及び作動過程は次の通りである。
まず、遮断部材40を利用して各アダプター収容部29に設けられた第1ガス分配路25a及び第2ガス分配路25bの中でいずれか一つを遮断する。また、前述のような様々な形態のガス噴射ノズル31が形成されたアダプター30を選択的に各アダプター収容部27に結合する。これにより、基板支持第11に位置した基板10に対して噴射角度及び噴射位置を選択してガスを噴射することができる。また、基板10の種類が変更される時には、様々な形態のアダプター30を適用して実験することにより、最適の噴射形態を有するアダプター30を選択することができる。
これにより、本発明の第1実施形態によるガス供給装置20は、様々な形態のガス噴射ノズル31が形成されたアダプター30を選択的に容易に着脱させることができ、様々な基板10に最適に均一にガスを噴射させることができる。
図8及び図9は、本発明の第2実施形態によるガス供給装置の斜視図及び部分分解斜視図である。
この図面に示すように、第2実施形態は、アダプター30のガス噴射ノズル31が噴射される出口領域にガス噴射ノズル31と連通されるように各アダプター31に着脱できるように結合された所定の長さの複数の補助噴射ノズル50がさらに設けられることが第1実施形態の差である。
アダプター30には、ガス噴射ノズル31と連通されて補助噴射ノズル50を支持する補助噴射ノズル支持部51がさらに設けられることが好ましい。
補助噴射ノズル支持部51は、アダプター30に対して様々な角度に設けられることもできる(図10a乃至図10c参照)。
図10aには、補助噴射ノズル50がアダプター30から水平方向にガスを噴射することができるように補助噴射ノズル支持部51が形成される。図10bには、補助噴射ノズル50がアダプター30から下向き傾斜にガスを噴射することができるように補助噴射ノズル支持部51が形成される。図10cには、補助噴射ノズル50がアダプター30から上向きに傾斜にガスを噴射することができるように補助噴射ノズル支持部51が形成される。
また、図10a乃至図10cでは、中央領域で水平にガスが噴射されるガス噴射ノズル31が形成されたアダプター30に補助噴射ノズル支持部51が形成された場合だけを示したが、このような補助噴射ノズル支持部51が前述した第1実施形態の他の噴射形態を有するアダプター30にも形成されることもできる。
このような構成により、本発明の第2実施形態によるガス供給装置も本発明の目的を達成することができ、補助噴射ノズル50が所定の長さを有しているのでさらに正確に基板にガスを噴射させることができる。
図11は本発明の参考実施形態によるガス供給装置の斜視図である。この図面に示すように、この参考実施形態は、ガス供給リング21に一つのガス供給路23cが形成され、このような一つのガス供給路23cに連通された複数のガス分配路25cが設けられることが前述した実施形態との差である。
このような場合にも、第1実施形態のように様々な形態のアダプター30を選択的に使用することができ、第2実施形態のように補助噴射ノズル50を使用することもできる。
このように、本発明による基板の表面に蒸着用ガスを供給するためのガス供給装置は、その内部に沿って環状に形成されたガス供給路とガス供給路から中心方向に形成された複数のガス分配路を有する環状のガス供給リングと、各ガス分配路に連通するガス噴射ノズルを有しガス供給リングの内側に脱着できるように結合される複数のアダプターと、を含み、複数のアダプターはガス噴射ノズルの噴射形態が異なるようにして、様々な基板に最適に均一にガスを噴射させることができる。
本発明の第1実施形態によるガス供給装置が設けられたチャンバーの断面図である。 図1のガス供給装置の部分切取斜視図である。 図1のガス供給装置の部分分解斜視図である。 図1のガス供給装置の断面図である。 図1のガス供給装置に装着されるアダプターのガス噴射ノズルの形態を異なりにした断面図である。 図1のガス供給装置に装着されるアダプターのガス噴射ノズルの形態を異なりにした断面図である。 図1のガス供給装置に装着されるアダプターのガス噴射ノズルの形態を異なりにした断面図である。 図1のガス供給装置に装着されるアダプターのガス噴射ノズルの形態を異なりにした断面図である。 図1のガス供給装置に装着されるアダプターのガス噴射ノズルの形態を異なりにした断面図である。 図1のガス供給装置に装着されるアダプターのガス噴射ノズルの形態を異なりにした断面図である。 図1のガス供給装置に装着されるアダプターのガス噴射ノズルの形態を異なりにした断面図である。 本発明の第2実施形態によるガス供給装置の部分斜視図である。 図8のガス供給装置の部分分解斜視図である。 図8のガス供給装置に装着されるアダプターの補助噴射ノズルの形態を異なりにした斜視図である。 図8のガス供給装置に装着されるアダプターの補助噴射ノズルの形態を異なりにした斜視図である。 図8のガス供給装置に装着されるアダプターの補助噴射ノズルの形態を異なりにした斜視図である。 参考実施形態によるガス供給装置の部分切取斜視図である。 従来のガス供給装置のガス供給リングの斜視図である。
符号の説明
1 チャンバー
3 セラミック板
5 プラズマアンテナ
7 ベース
10 基板
11 基板支持台
15 ガス排出口
20 ガス供給装置
21 ガス供給リング
23 ガス供給路
25 ガス分配路
27 アダプター収容部
28 スクリュー孔
29 遮断部材収容部
30 アダプター
31 ガス噴射ノズル
33 ガス連結路
35 スクリュー締結孔
40 遮断部材
41 O−リング
50 補助噴射ノズル
51 補助噴射ノズル支持部

Claims (10)

  1. 基板の表面に蒸着用ガスを供給するためのガス供給装置において、
    その内部に沿って環状に形成されたガス供給路と、前記ガス供給路から中心方向に形成された複数のガス分配路と、を有する環状のガス供給リングと;
    前記各ガス分配路に連通するガス噴射ノズルを有するとともに前記ガス供給リングの内側に脱着できるように結合されている、複数のアダプターを含み、
    前記複数のアダプターは、前記ガス噴射ノズルの噴射形態が噴射角度と噴射位置との中の少なくともいずれか一つが異なり、
    前記ガス供給リングの前記ガス供給路は、複数設けられ、
    前記アダプターは、前記ガス噴射ノズルと連通されるように上下方向に形成されているとともに前記ガス分配路と連通される、ガス連結路と、
    前記ガス分配路をそれぞれ選択的に遮断することができる遮断手段と、をさらに有することを特徴とするガス供給装置。
  2. 前記遮断手段は、各ガス分配路に挿入されて前記ガス分配路を遮断することができる複数の遮断部材を含むことを特徴とする請求項に記載のガス供給装置。
  3. 前記ガス供給リングには、前記各アダプターを収容するための複数のアダプター収容部が形成されていることを特徴とする請求項に記載のガス供給装置。
  4. 前記アダプター収容部の内の前記各ガス分配路の出口には、前記遮断部材を収容するための遮断部収容部が形成されていることを特徴とする請求項に記載のガス供給装置。
  5. 前記ガス噴射ノズルと連通されるように前記各アダプターに着脱できるように結合された複数の補助噴射ノズルをさらに含むことを特徴とする請求項に記載のガス供給装置。
  6. 前記アダプターには、前記ガス噴射ノズルと連通されて前記補助噴射ノズルを支持する補助噴射ノズル支持部が設けられたことを特徴とする請求項に記載のガス供給装置。
  7. 前記補助噴射ノズルは、前記ガス噴射ノズルと所定の角度をなすことを特徴とする請求項に記載のガス供給装置。
  8. 前記ガス噴射ノズルは、前記ガス連結路から水平に延長されていることを特徴とする請求項1又は5に記載のガス供給装置。
  9. 前記ガス噴射ノズルは、前記ガス連結路から下向きに延長されていることを特徴とする請求項1又は5に記載のガス供給装置。
  10. 前記噴射ノズルは、前記ガス連結路から上向きに傾斜に延長されることを特徴とする請求項1又は5に記載のガス供給装置。
JP2003349921A 2003-04-09 2003-10-08 ガス供給装置 Expired - Fee Related JP4142545B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2003-0022366A KR100500246B1 (ko) 2003-04-09 2003-04-09 가스공급장치

Publications (2)

Publication Number Publication Date
JP2004307990A JP2004307990A (ja) 2004-11-04
JP4142545B2 true JP4142545B2 (ja) 2008-09-03

Family

ID=33308279

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003349921A Expired - Fee Related JP4142545B2 (ja) 2003-04-09 2003-10-08 ガス供給装置

Country Status (4)

Country Link
US (1) US7303141B2 (ja)
JP (1) JP4142545B2 (ja)
KR (1) KR100500246B1 (ja)
CN (1) CN1263092C (ja)

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060059305A (ko) * 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
DE602005025263D1 (de) * 2005-10-05 2011-01-20 Pva Tepla Ag Plasmaätzverfahren und Ätzkammer
US7794667B2 (en) * 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
CN101369515B (zh) * 2007-08-16 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室
CN101465276B (zh) * 2007-12-19 2012-07-04 北京北方微电子基地设备工艺研究中心有限责任公司 一种进气装置及应用该进气装置的半导体处理设备
US8721836B2 (en) * 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9297072B2 (en) * 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110097487A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
KR101092122B1 (ko) * 2010-02-23 2011-12-12 주식회사 디엠에스 에칭 프로파일 제어를 위한 가스 인젝션 시스템
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
WO2012071661A1 (en) * 2010-11-30 2012-06-07 Socpra Sciences Et Genie S.E.C. Epitaxial deposition apparatus, gas injectors, and chemical vapor management system associated therewith
US20120152900A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods and apparatus for gas delivery into plasma processing chambers
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9249367B2 (en) * 2012-07-06 2016-02-02 Gas Technology Institute Injector having interchangeable injector orifices
US20140038421A1 (en) * 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition Chamber and Injector
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN104798446B (zh) * 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN104752274B (zh) * 2013-12-29 2017-12-19 北京北方华创微电子装备有限公司 工艺腔室以及半导体加工设备
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN106663606A (zh) * 2014-06-20 2017-05-10 应用材料公司 用于将气体注入外延腔室的设备
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
KR20160021958A (ko) * 2014-08-18 2016-02-29 삼성전자주식회사 플라즈마 처리 장치 및 기판 처리 방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016200568A1 (en) * 2015-06-12 2016-12-15 Applied Materials, Inc. An injector for semiconductor epitaxy growth
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10208380B2 (en) * 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) * 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180033659A1 (en) * 2016-07-28 2018-02-01 Applied Materials, Inc. Gas purge system and method for outgassing control
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180086669A (ko) 2017-01-23 2018-08-01 에드워드 코리아 주식회사 질소 산화물 감소 장치 및 가스 처리 장치
KR102646623B1 (ko) 2017-01-23 2024-03-11 에드워드 코리아 주식회사 플라즈마 발생 장치 및 가스 처리 장치
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
CN107012447B (zh) * 2017-04-20 2019-09-17 京东方科技集团股份有限公司 一种扩散装置和沉积腔室
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP1648531S (ja) * 2019-01-28 2019-12-23
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202230438A (zh) * 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 氣體供給環及基板處理裝置
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115178117B (zh) * 2022-07-06 2024-01-16 中国计量大学 一种搭载于多媒体设备的适用场景气味混配装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69024719T2 (de) 1989-08-14 1996-10-02 Applied Materials Inc Gasverteilungssystem und Verfahren zur Benutzung dieses Systems
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
EP0958401B1 (en) * 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US6012591A (en) 1997-01-23 2000-01-11 Brandenberg; Carl Brock Apparatus for supporting modular and cooperating components
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6187133B1 (en) 1998-05-29 2001-02-13 Applied Materials, Inc. Gas manifold for uniform gas distribution and photochemistry
US6143078A (en) 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6486081B1 (en) 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR20010019989A (ko) 1999-08-31 2001-03-15 윤종용 반도체 공정 챔버 내의 반응 가스 공급 장치
KR200205574Y1 (ko) 2000-07-07 2000-12-01 엘지전자주식회사 무접점 충전식 리모트 콘트롤러
US6470946B2 (en) 2001-02-06 2002-10-29 Anadigics, Inc. Wafer demount gas distribution tool
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces

Also Published As

Publication number Publication date
US7303141B2 (en) 2007-12-04
CN1263092C (zh) 2006-07-05
JP2004307990A (ja) 2004-11-04
CN1536612A (zh) 2004-10-13
KR20040088242A (ko) 2004-10-16
US20040217217A1 (en) 2004-11-04
KR100500246B1 (ko) 2005-07-11

Similar Documents

Publication Publication Date Title
JP4142545B2 (ja) ガス供給装置
JP4564656B2 (ja) デュアルチャネル・ガス分配プレート
JP5301065B2 (ja) セラミック基体支持体
US6251187B1 (en) Gas distribution in deposition chambers
KR100509231B1 (ko) 박막증착용 반응용기
US20090211707A1 (en) Apparatus for gas distribution and its applications
KR100862658B1 (ko) 반도체 처리 시스템의 가스 주입 장치
KR100782369B1 (ko) 반도체 제조장치
KR100661198B1 (ko) 반도체 웨이퍼 가공 장치 및 방법
JP2000031061A (ja) 半導体薄膜蒸着装置
JP2006245533A (ja) 高密度プラズマ化学気相蒸着装置
KR20150056631A (ko) 웨이퍼 프로세스 장비에서의 화학물질 제어 피쳐들
CN106463365A (zh) 具有更均匀的边缘净化的基板支撑件
WO2003034463A3 (en) Tunable multi-zone gas injection system
KR20050084704A (ko) 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
KR20060006219A (ko) 반도체 소자 제조에 사용되는 기판 처리 장치
KR20060059305A (ko) 반도체 공정 장비
TW201546320A (zh) 在沉積室中用於調節氣流之設備、沉積室、以及均勻沉積材料於基板上之方法
KR101829665B1 (ko) 기판 처리 장치
KR20040085315A (ko) 박막증착용 반응용기
KR20060107683A (ko) 화학 기상 증착 장치
TW202111763A (zh) 用於雙通道噴淋頭的方法與設備
KR100686724B1 (ko) 화학기상증착장치
KR20110021624A (ko) 원료 물질 공급 장치 및 이를 구비하는 기판 처리 장치
KR100972802B1 (ko) 샤워헤드를 구비한 반도체 소자 제조 장비

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050726

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061003

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061227

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20071204

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080222

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080425

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080513

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080612

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110620

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110620

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120620

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130620

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees