TW202111763A - 用於雙通道噴淋頭的方法與設備 - Google Patents

用於雙通道噴淋頭的方法與設備 Download PDF

Info

Publication number
TW202111763A
TW202111763A TW109125370A TW109125370A TW202111763A TW 202111763 A TW202111763 A TW 202111763A TW 109125370 A TW109125370 A TW 109125370A TW 109125370 A TW109125370 A TW 109125370A TW 202111763 A TW202111763 A TW 202111763A
Authority
TW
Taiwan
Prior art keywords
shower head
gas
electrode
opening
channel
Prior art date
Application number
TW109125370A
Other languages
English (en)
Inventor
傑立巴利 拉菲
典曄 吳
雷平艷
曼裘那薩 高帕
維諾高達 普拉瑟
倉富敬
鎂 張
小雄 袁
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202111763A publication Critical patent/TW202111763A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

用於在處理腔室中進行氣體分配的方法和設備,利用雙電極在單個噴淋頭中提供RF功率和RF接地迴路。在一些具體實施例中,設備包含:噴淋頭,噴淋頭由非金屬材料製成且具有第一氣體通道和第二氣體通道,其中第一氣體通道和第二氣體通道彼此獨立,且第一氣體通道包含從噴淋頭的頂表面到噴淋頭的底表面的複數個通孔,且第二氣體通道包含在噴淋頭的底表面上的複數個孔,複數個孔連接到噴淋頭的一側上的一個或多個氣體入口;第一電極,第一電極嵌入在噴淋頭中靠近噴淋頭的頂表面;和第二電極,第二電極嵌入在噴淋頭中靠近噴淋頭的底表面。

Description

用於雙通道噴淋頭的方法與設備
本揭示內容的具體實施例大體係關於半導體處理。
半導體基板處理系統大體包括具有基座的處理腔室,基座用於在靠近處理區的腔室內支撐基板,例如半導體基板。腔室形成真空外殼,真空外殼部分地限定用於在基板上執行某些處理的處理區域。在某些過程中,電漿可用於材料的沉積或材料的蝕刻。電漿在處理腔室內產生惡劣的環境。在處理腔室中使用的習知噴淋頭由基於金屬的材料製成,並包括使氣體流入處理腔室的氣體輸送裝置。氣體用於各種處理目的,例如將材料沉積到放置在處理腔室中的基板上。所傳送的氣體參數(例如壓力、溫度和速度)會影響腔室中基板的處理。發明人已經發現,由基於金屬的材料製成的噴淋頭可以與處理期間使用的一些氣體反應,從而影響處理的品質。
因此,發明人提供了改進的方法和設備,用於增強半導體處理腔室中的氣體輸送。
本文提供了用於增強在半導體處理腔室中的氣體輸送的方法和設備。
在一些具體實施例中,一種用於在處理腔室中分配氣體的設備,可包括:噴淋頭,噴淋頭由非金屬材料製成且具有第一氣體通道和第二氣體通道,其中第一氣體通道和第二氣體通道彼此獨立;第一電極,第一電極嵌入在噴淋頭中靠近噴淋頭的頂表面;和第二電極,第二電極嵌入在噴淋頭中靠近噴淋頭的底表面。
在一些具體實施例中,設備可進一步包含:其中噴淋頭由陶瓷材料構成;其中陶瓷材料是氮化鋁或氧化鋁;其中第一電極被配置為當安裝在處理腔室中時提供射頻(RF)接地返回路徑;其中第二電極被配置為當安裝在處理腔室中時提供射頻(RF)功率;其中第一氣體通道的至少一個通道從噴淋頭的頂表面中的第一開口延伸穿過噴淋頭,到達噴淋頭的底表面上的第二開口;其中第一開口和第二開口具有不同的尺寸;其中第二氣體通道的至少一個通道從噴淋頭的一側上的氣體入口延伸至噴淋頭的底表面處的至少一個第三開口;其中噴淋頭是由接合在一起的多層陶瓷材料構成的單個整體件;及/或其中噴淋頭具有從噴淋頭的頂表面到噴淋頭的底表面的複數個通孔,以及在噴淋頭的底表面上的複數個孔,複數個孔連接到噴淋頭的一側上的一個或多個入口。
在一些具體實施例中,一種用於在處理腔室中分配氣體的設備,可包括:噴淋頭,噴淋頭由非金屬材料製成且具有第一氣體通道和第二氣體通道,其中第一氣體通道和第二氣體通道彼此獨立,且其中第一氣體通道包含從噴淋頭的頂表面到噴淋頭的底表面的複數個通孔,且第二氣體通道包含在噴淋頭的底表面上的複數個孔,複數個孔連接到噴淋頭的一側上的一個或多個氣體入口;第一電極,第一電極嵌入在噴淋頭中靠近噴淋頭的頂表面;和第二電極,第二電極嵌入在噴淋頭中靠近噴淋頭的底表面。
在一些具體實施例中,設備可進一步包含:其中噴淋頭由陶瓷材料構成;其中陶瓷材料是氮化鋁或氧化鋁;其中第一電極被配置為當安裝在處理腔室中時提供射頻(RF)接地返回路徑;其中第二電極被配置為當安裝在處理腔室中時提供射頻(RF)功率;其中第一氣體通道的複數個通孔中的至少一個孔具有在噴淋頭的頂表面中的第一開口,以及在噴淋頭的底表面上的第二開口,並且其中第一開口和第二開口的尺寸不同;及/或其中噴淋頭是由接合在一起的多層陶瓷材料構成的單個整體件。
在一些具體實施例中,一種用於處理基板的系統,可包括:處理腔室,處理腔室具有內部處理空間;噴淋頭,噴淋頭經配置以將內部處理空間分成上部處理空間和下部處理空間,其中噴淋頭具有彼此獨立的第一氣體通道與第二氣體通道,且其中第一氣體通道經配置以將上部處理空間流體耦接至下部處理空間,且第二氣體通道經配置以將至少一個外部氣體流體耦接至下部處理空間;第一電極,第一電極嵌入在噴淋頭中靠近噴淋頭的頂表面,其中第一電極經配置以對上部處理空間中的電漿產生提供射頻(RF)接地返回路徑;以及第二電極,第二電極嵌入在噴淋頭中靠近噴淋頭的底表面,其中第二電極經配置以對下部處理空間中的電漿產生提供RF功率。
在一些具體實施例中,系統可進一步包含:其中噴淋頭由陶瓷材料構成;及/或其中噴淋頭是由接合在一起的多層陶瓷材料構成的單個整體件。
以下揭示了其他和進一步的具體實施例。
方法和設備為電漿處理提供了增強的氣體輸送。在一些具體實施例中,用於安裝在處理腔室中的上部噴淋頭和基板支座之間的中間噴淋頭組件提供了雙通道氣體輸送系統,其不受惡劣的氣體環境的影響。中間噴淋頭組件可以由非金屬材料(例如陶瓷材料)組成,包括但不限於氮化鋁或氧化鋁,其與基於氯、氟、氫、氮、矽烷和通常在高溫(約攝氏300度以上)的處理腔室中使用的其他腐蝕性物質的自由基和氣體兼容的化學物質。中間噴淋頭組件提供了雙通道氣體輸送噴淋頭,具有在中間噴淋頭組件上方以及在中間噴淋頭組件下方撞擊射頻(RF)電漿的能力。中間噴淋頭組件在中間噴淋頭組件的頂側附近具有嵌入式RF網格電極,在底側附近具有嵌入式RF網格電極。
發明人已經發現,對於一些要完成的處理,應該使用雙通道氣體輸送方法。中間噴淋頭組件提供兼容的材料、雙通道氣體傳輸、並具有在中間噴淋頭組件上方和下方撞擊電漿的能力。在中間噴淋頭組件上方和下方形成電漿的能力,可根據處理需求實現遠端電漿條件(在中間噴淋頭組件上方)以及直接電漿條件(在中間噴淋頭組件下方)。在處理腔室中具有遠端電漿功能的優勢在於,電漿種類更易於控制。使用遠端電漿,會同時產生離子和自由基。離子的方向性很強,大部分被中間噴淋頭組件過濾,並留在遠端電漿內(中間噴淋頭組件上方)。自由基會擴散並且沒有方向性,很容易穿過中間噴淋頭組件流向基板。在某些處理中,自由基用於與其他元素反應以在基板上產生所需的效果。遠端電漿允許對諸如化學氣相沉積(CVD)矽化鈦處理等的處理進行精確控制。
在一些具體實施例中,中間噴淋頭組件可以在高溫(高於約攝氏300度)下操作,同時透過雙通道輸送氣體並支援遠端和直接電漿處理進行沉積處理。發明人發現,中間噴淋頭組件提供了一種獨特處理的解決方案,此處理具有許多複雜因素,包括材料、RF、高溫和氣體輸送兼容性問題。發明人還發現,陶瓷材料例如氮化鋁、氧化鋁(Al2 O3 、氧化鋁)、氧化釔(Y2 O3 )和碳化矽(SiC)在高溫下可能與矽烷氣體不反應。發明人還發現,形成中間噴淋頭組件是一個複雜的程序,可以藉由將中間噴淋頭組件建構為兩個單獨的部件,然後將它們結合在一起以形成單個的整體部件或逐層結合以形成單個的整體部件,來簡化此程序。每個部件都有一個嵌入式RF網格,使得單個整體部件具有一個上部嵌入式電極(用於支援遠端電漿)和一個下部嵌入式電極(用於支援直接電漿)(相對於基板支座)。
借助雙氣體輸送通道,用於遠端和直接電漿能力的雙電極(並由有害化學物質無法透過的材料組成),中間噴淋頭組件可允許執行更複雜的處理。在中間噴淋頭組件中具有單獨的雙通道的優勢在於,有害的化學物質可轉移到基板上,而不會與其他通道中的氣體混合。例如,雙通道允許沉積膜,這可以幫助增加生產量。當鈦沉積在矽上時,處理會消耗矽並降低使用矽獲得的電效益。藉由將矽烷引入處理中,可以形成矽化鈦以停止矽的消耗。中間噴淋頭組件使用非金屬材料(例如陶瓷成分),這種材料無法被有害化學物質(例如矽烷)穿透,並具有雙氣體輸送通道,可將矽烷用於處理中而無需與其他通道的氣體混合。中間噴淋頭組件提供獨特且高度有利的功能,這些功能使過往為複雜的處理可以在單個處理腔室內執行,從而提高了產量並降低了成本。
圖1示出了根據一些具體實施例的適於與中間噴淋頭組件170結合使用的處理腔室100。中間噴淋頭組件170在處理腔室100中的放置和示出的連結嚴格地是示例性的,並且不旨在以任何方式限制腔室的放置、連結或使用的類型。示例性的處理腔室可包括可從美國加利福尼亞州聖克拉拉市的應用材料公司獲得的處理腔室。其他合適的腔室包括使用氣體輸送設備執行基板製造處理的任何腔室。在一些具體實施例中,處理腔室100大體包括腔室主體102,腔室主體102限定上部處理腔室104A、下部處理腔室104B和排氣腔室106。上部處理空間104A可以例如限定在處理腔室100的頂板142附近的上部噴淋頭組件114和設置在處理腔室100內的中間噴淋頭組件170之間。下部處理空間104B可以例如限定在設置在處理腔室100內的基板支座108與中間噴淋頭組件170之間,基板支座108用於在處理期間在基板支座108上支撐基板110。排氣空間106可以例如限定在基板支座108和處理腔室100的底部之間。
基板支座108大體包括主體143,主體143具有基板支撐表面141以在其上支撐基板110。在一些具體實施例中,基板支座108可以包括將基板110保持或支撐在基板支座108的表面上的設備,諸如靜電吸盤、真空吸盤、基板保持夾等(未示出)。在一些具體實施例中,基板支座108可以包括射頻(RF)偏壓電極168。RF偏壓電極168可以透過一個或多個相應的匹配網路(圖1所示的一個RF偏壓電源148A和一個匹配網路146A)耦合到一個或多個RF偏壓電源。一個或多個偏壓電源可能夠在大約350 kHz、大約2 MHz、大約13.56 MHz或大約60 MHz的頻率下產生高達6000W的功率。在一些具體實施例中,可以提供兩個偏壓電源,用於以大約2MHz和大約13.56MHz的頻率透過相應的匹配網路將RF功率耦合到RF偏壓電極。在一些具體實施例中,可以提供三個偏壓電源,用於透過各個匹配網路以大約2MHz、大約13.56MHz和大約60MHz的頻率將RF功率耦合到RF偏壓電極。至少一個偏壓電源可以提供連續或脈衝電源。在一些具體實施例中,偏壓電源可以是DC或脈衝DC源。
在一些具體實施例中,基板支座108可以包括一種或多種機構,用於控制基板支座表面141和佈置在其上的基板110的溫度。例如,可以提供一個或多個通道(未示出)以在基板支撐表面下方限定一個或多個流動路徑,以使傳熱介質流動,類似於下面關於上部噴淋頭組件114所描述的。上部噴淋頭組件114可以耦接至氣體供應器116,用於將一種或多種處理氣體提供到處理腔室100的上部處理空間104A中。中間噴淋頭組件170可以耦接到氣體供應172,用於將一種或多種處理氣體提供到處理腔室的下部處理空間104B中。下面詳細討論中間噴淋頭組件170。可以提供附加的氣體入口,例如設置在處理腔室100的頂板中或側壁上或在適合於向處理腔室100提供氣體的其他位置處的噴嘴或入口,例如處理腔室100的底部,基板支座108的外周等等。
在一些具體實施例中,RF電漿電源148B和/或RF電漿電源148C可以透過一個或多個匹配網路146B、146C耦合到處理腔室100,以提供用於處理的功率。在一些具體實施例中,處理腔室100可以利用提供給鄰近處理腔室100的上部的上部電極140的電容耦合RF功率。上部電極140可以是在處理腔室100的上部中的導體,或者至少部分地由頂板142、上部噴淋頭組件114等等中的一種或多種由合適的導電材料製成。例如,在一些具體實施例中,一個或多個RF電漿電源148B可以耦合到處理腔室100的頂板142的導電部分或上部噴淋頭組件114的導電部分。頂板142可以是實質平坦的,儘管也可以使用其他類型的頂板,例如圓頂形頂板等。
在一些具體實施例中,中間噴淋頭組件170可以具有嵌入在中間噴淋頭組件的頂表面附近的第一電極190,第一電極充當用於一個或多個RF電漿電源148B的RF接地迴路,以在中間噴淋頭組件170上方的上部處理空間104A中支援電漿。第一電極190可以接地180到處理腔室100的壁。在一些具體實施例中,中間噴淋頭組件170中的第二電極192可以經由匹配網路146C耦合到RF電漿電源148B或另一個RF電漿電源148C,以在下部處理空間104B中支援電漿。RF電漿電源148B、148C可以能夠以大約350kHz、大約13.56MHz或更高的頻率(諸如大約27MHz和/或大約60MHz和/或大約162MHz)產生高達6000W的功率。或者,一個或多個RF電漿電源148B可以耦合到鄰近處理腔室100的頂板設置的感應線圈元件(未示出),以由電感耦合RF功率形成電漿。
在一些具體實施例中,上部處理空間104A和下部處理空間104B可以流體耦接至排氣系統120。排氣系統120可以促進來自處理腔室100的上部處理空間104A和下部處理空間104B的廢氣的均勻流動。排氣系統120大體包括泵送氣室124和將泵送氣室124耦接到處理腔室100的上部處理空間104A和下部處理空間104B的複數個導管(未示出)。導管具有與上部處理空間104A和下部處理空間104B(或在某些具體實施例中為排氣空間106)耦接的入口122和與泵送氣室124流體耦合的出口(未顯示)。例如,導管可以具有設置在處理腔室100的側壁或底部的下部區域中的入口122。在一些具體實施例中,入口實質上等距地間隔開。
真空泵128可以經由泵送端口126耦接至泵送氣室124,以從處理腔室100泵出排氣。真空泵128可以流體耦接至排氣出口132,以將排氣引導至適當的排氣處理設備。閥130(例如閘閥等)可設置在泵送氣室124中,以便於結合真空泵128的操作來控制排氣的流量。儘管示出了z-運動閘閥,但是可以利用任何合適的相容於處理的閥來控制排氣的流量。
在操作中,基板110可以經由腔室主體102中的開口112進入處理腔室100。開口112可以經由狹縫閥118選擇性地密封,或經由用於選擇性提供通過開口112進入腔室內部的其他設備選擇性地密封。基板支座108可以耦接至升降設備134,升降設備134可以將基板支座108的位置控制在適合經由開口112將基板傳送進出腔室的下部位置(如圖所示)和適合進行處理的可選上部位置之間。可以選擇處理位置以使特定處理步驟的處理均勻性最大化。當處於升高的處理位置中時,基板支座108可以設置在開口112上方以提供對稱的處理區域。在將基板110放置在處理腔室100內之後,可以將腔室抽真空至適合於形成電漿的壓力,並且可以經由上部噴淋頭組件114和/或中間噴淋頭組件170(和/或其他進氣口)將一種或多種處理氣體引入處理腔室100中。可以提供RF功率以從處理氣體點燃並維持上部處理空間104A和/或下部處理空間104B中的電漿,以處理基板110。在處理期間,例如在上面的示例中,可以控制上部噴淋頭組件114的溫度,以在上部噴淋頭組件114的面向基板的表面上提供更均勻的溫度曲線。傳熱介質源136可耦接至通道,以將傳熱介質提供至一個或多個通道。控制器137可以控制一個或多個閥139和/或傳熱介質源136的操作。
圖2是圖1的中間噴淋頭組件170的剖視圖200。中間噴淋頭組件170的一部分202示出了嵌入在中間噴淋頭組件170中的特徵。部分202具有頂表面204和底表面206。頂表面204具有通向第一通道210的第一開口240。第一開口240與底表面206上的第二開口242相對。在一些具體實施例中,第一開口240可以大於第二開口242(如圖2所示)。在一些具體實施例中,第一開口240可以與第二開口242大約相同尺寸。在一些具體實施例中,第一通道210可以具有恆定的直徑、從第一開口240逐漸變細到第二開口242處較小尺寸的直徑、或者對於第一通道210的第一部分恆定的第一直徑以及對於第一通道210的第二部分恆定的第二直徑(但是其中第二直徑小於第一直徑(如圖2所示))。在一些具體實施例中,第一通道210的直徑可以在頂表面204和底表面206之間的中途最寬,並且在第一開口240和第二開口242處較小(例如,桶狀形狀)。第一通道210允許在中間噴淋頭組件170上方的空間中的氣體通過而到達在中間噴淋頭組件170下方的空間。在一些具體實施例中,第一開口240允許由電漿產生的自由基(大體是各向異性的)穿過中間噴淋頭組件170,同時限制了由電漿產生的離子(大體是各向同性的)。
第二通道212嵌入中間噴淋頭組件170中,並允許第二氣體獨立地且隔開流過第一通道210的氣體而流動。與第一通道210不同,第二通道212在中間噴淋頭組件170內部互連。在一些具體實施例中,第二通道212可在中間噴淋頭組件170的一側上具有一個或多個氣體入口250,氣體入口250被構造成接收諸如圖1的氣體供應172之類的外部氣體源。第二通道212具有第三開口214,第三開口214用於向基板(例如,基板110)的表面釋放氣體。第三開口214可以具有或可以不具有與第一開口240或第二開口242中的任一個相似的尺寸。第二通道212允許輸送與通過第一通道210的氣體分開的氣體。在某些過程中,不同的氣體混合在一起可能會發生反應,從而改變過程的結果。由中間噴淋頭組件170提供的雙通道氣體輸送允許執行複雜的氣體處理,而沒有由氣體化學物質混合引起的不希望的副作用。第一開口240、第二開口242和第三開口214可具有尺寸為大約0.012(12密耳)至大約0.025英寸(25密耳)或更大的開口。
第一電極220嵌入在中間噴淋頭組件170的頂表面204附近。嵌入第一電極220以保護第一電極220免於與處理腔室中的有害氣體化學物質直接接觸。嵌入第一電極220以形成網格,網格允許第一通道210穿過中間噴淋頭組件170而不會干擾第一電極220的功能(例如,參見圖4B)。在一些具體實施例中,第一電極220可以耦合到第一外部電連結260。在一些具體實施例中,第一電極220可以接地。在一些過程中,第一電極220可用作位於第一電極220上方的電極(例如圖1的上部電極140)的RF接地迴路。第一電極220允許在中間噴淋頭組件170上方形成遠端電漿,其隨後提供穿過第一通道210的電漿自由基。第一電極220可以提供用於RF功率的RF接地迴路,RF功率形成在中間噴淋頭組件170上方的電漿。
第二電極222嵌入在中間噴淋頭組件170的底表面206附近。嵌入第二電極222以保護第二電極222不與處理腔室中的有害氣體化學物質直接接觸。嵌入第二電極222以形成網格,網格允許第一通道210穿過中間噴淋頭組件170而不會干擾第二電極222的功能(例如,參見圖4A)。網格還允許第二通道212的第三開口214到達中間噴淋頭組件170的底表面206。在一些具體實施例中,第二電極222可以耦合到第二外部電連結262。在一些具體實施例中,第二電極222可以將RF功率提供到處理腔室中。在一些過程中,第二電極222可以被用作RF電源,RF電源具有由基板支座108中的偏壓電極(諸如圖1的RF偏壓電極168)提供的RF接地迴路。第二電極222允許在中間噴淋頭組件170下方形成直接電漿。第二電極222可以提供從大約50瓦到大約6000瓦的RF功率。
在一些具體實施例中,中間噴淋頭組件170可以形成在上部230和下部232中。然後將上部230和下部232接合在一起,使得第一通道210和第二通道212保持真空和氣密性,以防止第一通道210中的氣體與第二通道212中的氣體混合。藉由分別建構每個部分然後組合,可以形成第二通道212,使得上部230為第二通道212提供頂板。有關形成中間噴淋頭組件170的某些具體實施例的更多詳細資訊,請參見圖4A、4B和5。
圖3是中間噴淋頭組件170的部分202的截面圖300,部分202與連接至RF電源304的上部外部電極302和連接至偏壓電源308的下部外部電極306相互作用。在示例中,RF電源304向上部外部電極302提供RF功率,以與中間噴淋頭組件170的第一電極220結合形成遠端電漿310,中間噴淋頭組件170用作RF電源304的RF接地迴路320。通常,下部外部電極306由偏壓電源308供電。然而,下部外部電極306也可以用作RF接地迴路。在傳統系統中,當從RF電源304提供電源時,下部外部電極306將用作上部外部電極302的RF接地迴路。利用中間噴淋頭組件170,第二電極222從外部RF電源322提供功率,並在中間噴淋頭組件170下方形成直接電漿312,下部外部電極306用作RF接地迴路。第一電極220和第二電極222允許中間噴淋頭組件170在基板的處理期間形成遠端電漿和直接電漿。
圖4A是從中間噴淋頭組件170的下部232的俯視圖看的視圖400A。孔間距、孔圖案、網格間距、網格圖案、第二通道尺寸、第二通道間距和第二通道圖案是示例性的,並且不限制其任何參數。下部232包括具有第三開口214的第二通道212。第二通道212可以是一個或多個進氣口250,其連接到外部氣體供應源,例如圖1的氣體供應源172。第二電極222可以連接到第二外部電連結262,例如RF電源連接器280。在一些具體實施例中,第二電極222形成在第二通道212的下方,並且因此由虛線圖示。第二通道212在第二通道212的內部包括第三開口214。包括第二開口242的第一通道210的下部也形成在下部232中。
圖4B是從中間噴淋頭組件170的上部230的俯視圖看的視圖400B。孔間距、孔圖案、網格間距和網格圖案是示例性的,並且不限制其任何參數。上部230包括具有第一開口240的第一通道210。第一電極220可以連接到第一外部電連結260,諸如RF接地連接器290。RF接地連結也可以藉由中間噴淋頭組件170與處理腔室100的壁的直接接觸來提供。第一電極220形成在中間噴淋頭組件170的頂表面204下方,並且因此如虛線所示。上部230在第一開口240之間包括間隔,以允許下部232的第二通道212。
在一些具體實施例中,由於與形成具有小孔、電極和用於氣體通道的腔的陶瓷體有關的困難,中間噴淋頭組件170可以形成為一系列層壓或黏結的陶瓷層。將中間噴淋頭組件170建構為層壓層(將陶瓷層黏結在一起形成單件)的優點在於,可以使每一層中的孔的尺寸都非常小,並且電極或網格更易於引入和定位在中間噴淋頭組件170內部。第一開口240、第二開口241和/或第三開口214可以具有形成在陶瓷層中的,尺寸小至大約0.015(15密耳)或更大的開口。網格/電極的佈局也更容易控制,並且電極層的位置可以容易地在陶瓷層的堆疊內調整。
在圖5中,在等軸視圖500A中示出了用於上部230的第一組層502。在等軸視圖500B中示出了用於下部232的第二組層504。在一些具體實施例中,每一層的厚度約為1mm。在一些具體實施例中,第一組層502包括八層,第二組層504包括八層。在一些具體實施例中,第一組層502的層數可以是任何數,並且第二組層504可以是任何數。在一些具體實施例中,中間噴淋頭組件170具有大約16mm的厚度(對於16層堆疊)。在一些具體實施例中,藉由將包括孔508以形成第一通道210的上部的第一類型層506的六層黏合在一起,而在底部開始形成第一組層502。具有孔508以形成第一通道210的上部並且具有嵌入第二類型層510中的第一電極220的第二類型層510,接合到六層的頂部。然後將另一個第一類型層506接合到這七個層的頂部,以形成中間噴淋頭組件170的上部230。在一些具體實施例中,第一類型層506的數量和相對於第二類型層510的第一類型層506的順序可以與圖5所示的示例不同。例如,第一組層502的頂部可以在第二類型層510等的頂部上具有兩個或更多個第一類型層506。
在一些具體實施例中,第二組層504從底部開始形成第三類型層512。第三類型層512具有用於第三開口214的孔518和用於形成第一通道210的下部的孔520。然後將第四層類型514接合到第三類型層512。第四層類型514具有用於第三開口214的孔518和用於形成第一通道210的下部的孔520。然後,將第三類型層512的另外兩層接合到第四層類型514。然後將另外四層的第五類型層516接合到其他層上,從而完成第二組層,以形成中間噴淋頭組件170的下部232。第五層類型516具有用於第三開口214的孔518,用於形成第一通道210的下部的孔520以及用於形成第二通道212的通道。在一些具體實施例中,第三類型層512的數量和第五類型層516的數量可以不同,並且第四層類型514相對於第二組層504的底部的放置可以與在圖5中所示的示例不同。例如,從第二組層504的底部開始的第三層可以是具有或多或少的第三類型層512和第五類型層516等的第四層類型514。一旦第一組層502完成並且第二組層504完成,則中間噴淋頭組件170的上部230和中間噴淋頭組件170的下部232然後在真空密封和氣密的方式中接合在一起以形成中間噴淋頭組件170。在一些具體實施例中,中間噴淋頭組件170可以逐層建構以形成完整的中間噴淋頭組件170,而不是形成上部230和下部232然後接合在一起。
雖然前述內容係關於本原理的具體實施例,但可發想原理的其他與進一步的具體實施例而不脫離前述內容的基本範圍。
100:處理腔室 102:腔室主體 106:排氣腔室 108:基板支座 110:基板 112:開口 114:上部噴淋頭組件 116:氣體供應器 118:狹縫閥 120:排氣系統 122:入口 124:泵送氣室 126:泵送端口 128:真空泵 130:閥 132:排氣出口 134:升降設備 136:傳熱介質源 137:控制器 139:閥 140:上部電極 141:基板支撐表面 142:頂板 143:主體 168:射頻(RF)偏壓電極 170:中間噴淋頭組件 172:氣體供應 180:接地 190:第一電極 192:第二電極 104A:上部處理腔室 104B:下部處理腔室 146A:匹配網路 146B:匹配網路 146C:匹配網路 148A:RF偏壓電源 148B:RF電漿電源 148C:RF電漿電源 200:剖視圖 202:中間噴淋頭組件的一部分 204:頂表面 206:底表面 210:第一通道 212:第二通道 214:第三開口 220:第一電極 222:第二電極 230:上部 232:下部 240:第一開口 242:第二開口 250:氣體入口 260:第一外部電連結 262:第二外部電連結 280:RF電源連接器 290:RF接地連接器 300:截面圖 302:上部外部電極 304:RF電源 306:下部外部電極 308:偏壓電源 310:遠端電漿 312:直接電漿 320:RF接地迴路 322:外部RF電源 502:第一組層 504:第二組層 506:第一類型層 508:孔 510:第二類型層 516:第五類型層 518:孔 520:孔 400A:視圖 400B:視圖 500A:等軸視圖 500B:等軸視圖
藉由參照繪製於附加圖式中的本原理的說明性具體實施例,可瞭解於上文簡短總結並於下文更詳細討論的原理的具體實施例。然而,附加圖式僅圖示說明原理的典型具體實施例,且因此不應被視為限制原理的範圍,因為原理可允許其他等效的具體實施例。
圖1是根據本原理的一些具體實施例的處理腔室的剖視圖。
圖2是根據本原理的一些具體實施例的中間噴淋頭組件的剖視圖。
圖3是根據本原理的一些具體實施例的中間噴淋頭組件的剖視圖。
圖4A是根據本原理的一些具體實施例的中間噴淋頭組件的下部的剖視圖。
圖4B是根據本原理的一些具體實施例的中間噴淋頭組件的上部的剖視圖。
圖5是根據本原理的一些具體實施例的中間噴淋頭組件的各層的等距視圖。
為了便於瞭解,已盡可能使用相同的元件符號標定圖式中共有的相同元件。圖式並未按照比例繪製,並可被簡化以為了清楚說明。一個具體實施例的元件與特徵,可無需進一步的敘述即可被有益地併入其他具體實施例中。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:處理腔室
102:腔室主體
106:排氣腔室
108:基板支座
110:基板
112:開口
114:上部噴淋頭組件
116:氣體供應器
118:狹縫閥
120:排氣系統
122:入口
124:泵送氣室
126:泵送端口
128:真空泵
130:閥
132:排氣出口
134:升降設備
136:傳熱介質源
137:控制器
139:閥
140:上部電極
141:基板支撐表面
142:頂板
143:主體
168:射頻(RF)偏壓電極
170:中間噴淋頭組件
172:氣體供應
180:接地
190:第一電極
192:第二電極
104A:上部處理腔室
104B:下部處理腔室
146A:匹配網路
146B:匹配網路
146C:匹配網路
148A:RF偏壓電源
148B:RF電漿電源
148C:RF電漿電源

Claims (20)

  1. 一種用於在一處理腔室中分配氣體的設備,包括: 一噴淋頭,該噴淋頭由一非金屬材料製成且具有一第一氣體通道和一第二氣體通道,其中該第一氣體通道和該第二氣體通道彼此獨立; 一第一電極,該第一電極嵌入在該噴淋頭中靠近該噴淋頭的一頂表面;和 一第二電極,該第二電極嵌入在該噴淋頭中靠近該噴淋頭的一底表面。
  2. 如請求項1所述之設備,其中該噴淋頭由一陶瓷材料構成。
  3. 如請求項2所述之設備,其中該陶瓷材料是氮化鋁或氧化鋁。
  4. 如請求項1所述之設備,其中該第一電極被配置為當安裝在該處理腔室中時提供一射頻(RF)接地返回路徑。
  5. 如請求項1所述之設備,其中該第二電極被配置為當安裝在該處理腔室中時提供射頻(RF)功率。
  6. 如請求項1所述之設備,其中該第一氣體通道的至少一個通道從該噴淋頭的該頂表面中的一第一開口延伸穿過該噴淋頭,到達該噴淋頭的該底表面上的一第二開口。
  7. 如請求項6所述之設備,其中該第一開口和該第二開口具有不同的尺寸。
  8. 如請求項1所述之設備,其中該第二氣體通道的至少一個通道從該噴淋頭的一側上的一氣體入口延伸至該噴淋頭的該底表面處的至少一個第三開口。
  9. 如請求項1所述之設備,其中該噴淋頭是由接合在一起的多層陶瓷材料構成的單個整體件。
  10. 如請求項1所述之設備,其中該噴淋頭具有從該噴淋頭的該頂表面到該噴淋頭的該底表面的複數個通孔,以及在該噴淋頭的該底表面上的複數個孔,該複數個孔連接到該噴淋頭的一側上的一個或多個入口。
  11. 一種用於在一處理腔室中分配氣體的設備,包括: 一噴淋頭,該噴淋頭由非金屬材料製成且具有一第一氣體通道和一第二氣體通道,其中該第一氣體通道和該第二氣體通道彼此獨立,且其中該第一氣體通道包含從該噴淋頭的一頂表面到該噴淋頭的一底表面的複數個通孔,且該第二氣體通道包含在該噴淋頭的該底表面上的複數個孔,該複數個孔連接到該噴淋頭的一側上的一個或多個氣體入口; 一第一電極,該第一電極嵌入在該噴淋頭中靠近該噴淋頭的該頂表面;和 一第二電極,該第二電極嵌入在該噴淋頭中靠近該噴淋頭的該底表面。
  12. 如請求項11所述之設備,其中該噴淋頭由一陶瓷材料構成。
  13. 如請求項12所述之設備,其中該陶瓷材料是氮化鋁或氧化鋁。
  14. 如請求項11所述之設備,其中該第一電極被配置為當安裝在該處理腔室中時提供一射頻(RF)接地返回路徑。
  15. 如請求項11所述之設備,其中該第二電極被配置為當安裝在該處理腔室中時提供射頻(RF)功率。
  16. 如請求項11所述之設備,其中該第一氣體通道的該複數個通孔中的至少一個孔具有在該噴淋頭的該頂表面中的一第一開口,以及在該噴淋頭的該底表面上的一第二開口,並且其中該第一開口和該第二開口的尺寸不同。
  17. 如請求項11所述之設備,其中該噴淋頭是由接合在一起的多層陶瓷材料構成的單個整體件。
  18. 一種用於處理基板的系統,包括: 一處理腔室,該處理腔室具有一內部處理空間; 一噴淋頭,該噴淋頭經配置以將該內部處理空間分成一上部處理空間和一下部處理空間,其中該噴淋頭具有彼此獨立的一第一氣體通道與一第二氣體通道,且其中該第一氣體通道經配置以將該上部處理空間流體耦接至該下部處理空間,且該第二氣體通道經配置以將至少一個外部氣體流體耦接至該下部處理空間; 一第一電極,該第一電極嵌入在該噴淋頭中靠近該噴淋頭的一頂表面,其中該第一電極經配置以對該上部處理空間中的電漿產生提供一射頻(RF)接地返回路徑;以及 一第二電極,該第二電極嵌入在該噴淋頭中靠近該噴淋頭的一底表面,其中該第二電極經配置以對該下部處理空間中的電漿產生提供RF功率。
  19. 如請求項18所述之系統,其中該噴淋頭由一陶瓷材料構成。
  20. 如請求項19所述之設備,其中該噴淋頭是由接合在一起的多層陶瓷材料構成的單個整體件。
TW109125370A 2019-07-30 2020-07-28 用於雙通道噴淋頭的方法與設備 TW202111763A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962880218P 2019-07-30 2019-07-30
US62/880,218 2019-07-30
US16/934,343 2020-07-21
US16/934,343 US20210032753A1 (en) 2019-07-30 2020-07-21 Methods and apparatus for dual channel showerheads

Publications (1)

Publication Number Publication Date
TW202111763A true TW202111763A (zh) 2021-03-16

Family

ID=74228755

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109125370A TW202111763A (zh) 2019-07-30 2020-07-28 用於雙通道噴淋頭的方法與設備

Country Status (3)

Country Link
US (1) US20210032753A1 (zh)
TW (1) TW202111763A (zh)
WO (1) WO2021021537A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
US20230011938A1 (en) * 2021-07-09 2023-01-12 Applied Materials, Inc. Shaped showerhead for edge plasma modulation

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
KR101614032B1 (ko) * 2014-05-29 2016-04-21 참엔지니어링(주) 가스 분배 장치 및 이를 구비하는 기판 처리 장치

Also Published As

Publication number Publication date
US20210032753A1 (en) 2021-02-04
WO2021021537A1 (en) 2021-02-04

Similar Documents

Publication Publication Date Title
US12000047B2 (en) Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
TWI768093B (zh) 電漿蝕刻製程中使用塗佈部件的製程裕度擴充
TWI671792B (zh) 基板處理設備
TWI618141B (zh) 晶圓處理設備中的化學物質控制特徵
CN106098527B (zh) 用于形成膜堆叠的双通道喷头
KR20180054366A (ko) 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TWI811815B (zh) 用於半導體處理室的氣箱
TW202111763A (zh) 用於雙通道噴淋頭的方法與設備
US20230402261A1 (en) Uniform in situ cleaning and deposition
TWI790507B (zh) 用於處理腔室的多區域流動氣箱
TW202200817A (zh) 高溫化學氣相沉積蓋
JP2023504829A (ja) 堆積チャンバ用のガス分配セラミックヒータ
TW202124771A (zh) 用於氣體輸送調節的腔室部件
TWI830434B (zh) 下游殘留物管理硬體及方法
TWI855024B (zh) 在原子層沉積(ald)基板處理腔室中調變膜性質用之支座及具有該支座之系統
US20240318312A1 (en) Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20210114552A (ko) ALD (Atomic Layer Deposition) 기판 프로세싱 챔버들의 막 특성들을 조절하기 위한 페데스탈들
TW202140840A (zh) 用於溝槽輪廓最佳化的多區氣體分配板
TW202430704A (zh) 噴淋頭氣體入口混合器
TW202339551A (zh) 用於改善沉積厚度均勻性的噴淋頭組件及基板處理系統