TWI768093B - 電漿蝕刻製程中使用塗佈部件的製程裕度擴充 - Google Patents

電漿蝕刻製程中使用塗佈部件的製程裕度擴充 Download PDF

Info

Publication number
TWI768093B
TWI768093B TW107127202A TW107127202A TWI768093B TW I768093 B TWI768093 B TW I768093B TW 107127202 A TW107127202 A TW 107127202A TW 107127202 A TW107127202 A TW 107127202A TW I768093 B TWI768093 B TW I768093B
Authority
TW
Taiwan
Prior art keywords
chamber
nickel
plasma
mixture
channel
Prior art date
Application number
TW107127202A
Other languages
English (en)
Other versions
TW201913809A (zh
Inventor
冬青 楊
天發 陳
彼得 希爾曼
朱拉拉
尼汀K 英格爾
迪米奇 路柏曼斯基
克里斯托弗 斯奈迪格
明 夏
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201913809A publication Critical patent/TW201913809A/zh
Application granted granted Critical
Publication of TWI768093B publication Critical patent/TWI768093B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Magnetic Heads (AREA)

Abstract

本技術的實施例可包括一種蝕刻方法。該方法可包括在腔室的第一部分中混合電漿流出物與氣體以形成第一混合物。該方法亦可包括在腔室的第二部分中使第一混合物流到基板。第一部分及第二部分可包括鍍鎳材料。該方法可進一步包括使第一混合物與基板反應以相對於第二層選擇性蝕刻第一層。此外,該方法可包括形成第二混合物,該第二混合物包括來自第一混合物與基板反應的產物。

Description

電漿蝕刻製程中使用塗佈部件的製程裕度擴充
本技術係關於半導體製程及設備。更具體而言,本技術係關於在低壓蝕刻操作期間改良製程選擇性。
積體電路可能由在基板表面上產生複雜圖案化之材料層的製程來製成。在基板上產生圖案化材料需要用於移除暴露材料的受控方法。出於各種目的使用化學蝕刻,包括將光阻劑中的圖案轉移至下層中、薄化層或薄化已經在表面上存在的特徵的橫向尺寸。通常期望具有與另一種材料相比更快速地蝕刻一種材料的蝕刻製程,從而促進例如圖案轉移製程。認為此蝕刻製程對第一材料具有選擇性。由於材料、電路和製程的多樣性,已經開發出具有相對於各種材料的選擇性之蝕刻製程。
在基板處理區域內形成的局部電漿中產生的乾式蝕刻可以穿透更受限制的溝槽,並且與濕式蝕刻相比,展現脆弱剩餘結構的較少形變。然而,儘管蝕刻製程可相對於第二材料對第一材料具有選擇性,但第二材料的一些不期望蝕刻仍可發生。
因此,存在對可以用於產生高品質元件及結構的經改良系統及方法的需要。該等及其他需要由本技術解決。
隨著半導體元件變得更小,圖案化該等元件可變得更具挑戰性。較小特徵可更難以界定。這可由於效能、可靠性及製造產出量所需的減小大小或更嚴格容差而導致。下文描述的方法可提供經改良的圖案化製程。
由鍍鎳材料使電漿流出物及氣體的混合物流動可允許以較低壓力蝕刻。藉由促進蝕刻劑行進到窄且深的特徵底部而不接觸及蝕刻側壁,較低壓力處理可有利於較小且較深半導體特徵。鍍鎳可藉由在較低壓力下維持低的矽蝕刻量來增加選擇性。不意欲受限於理論,咸信鎳可清除氟自由基或氫自由基,而氟自由基或氫自由基正是不當矽蝕刻的原因。鎳可塗佈在大量混合氣體與電漿蝕刻劑下游的腔室部件。鎳可沿著混合下游的腔室中的電漿流出物的流動路徑塗佈所有部件。
本技術的實施例可包括一種半導體處理系統。該系統可包括遠端電漿區域。該系統亦可包括由通道與遠端電漿區域流體耦合的處理區域。該系統可進一步包括流體耦合至通道的進氣口。進氣口可界定在進入處理區域之前不經過遠端電漿區域的氣體的流動路徑。處理區域可包括經配置以支撐基板的基座。處理區域可至少部分由側壁及噴淋頭界定。側壁及噴淋頭可鍍有鎳。
本技術的實施例可包括一種蝕刻方法。該方法可包括在腔室的第一部分中混合電漿流出物與氣體以形成第一混合物。該方法亦可包括在腔室的第二部分中使第一混合物流到基板。第一部分及第二部分可包括鍍鎳材料。該方法可進一步包括使第一混合物與基板反應以相對於第二層選擇性蝕刻第一層。此外,該方法可包括形成第二混合物,該第二混合物包括來自第一混合物與基板反應的產物。
本技術的實施例可包括一種蝕刻方法。該方法可包括使包括氨及含氟氣體的第一氣體流過電漿以形成電漿流出物。該方法亦可包括使電漿流出物流過腔室的第一部分。第一部分可能不包括鍍鎳材料。該方法可進一步包括在腔室的第二部分中使包括氨的第二氣體與電漿流出物混合以形成第一混合物。此外,該方法可包括在腔室的第三部分中使第一混合物流到基板。該方法亦可包括使第一混合物與基板反應以相對於矽層選擇性蝕刻氧化矽層。隨後,該方法可包括形成第二混合物,該第二混合物包含來自第一混合物與基板反應的產物。第二混合物可流過腔室的第四部分以離開腔室。第二部分、第三部分及第四部分可包括鍍鎳材料。
用於蝕刻氧化矽的習知系統及方法可能不適用於低壓。低壓對於較小且較深半導體特徵而言可能係較佳的。然而,在較低壓力下,蝕刻選擇性可能降低。例如,在較低壓力下蝕刻熱氧化物期間,熱氧化物的蝕刻量可能降低,而矽的蝕刻量可能增加。在低壓下,反應成分(諸如自由基)的密度降低。因此,熱氧化物的蝕刻速率可能降低。未反應或未完全反應的物質亦可能在腔室中存在。在較低壓力下,此等未反應的物質(例如,氟自由基或氫自由基)可在基板中與矽反應,從而增加矽的蝕刻速率。習知方法在增加的腔室壓力下蝕刻以使自由基與基板或其他氣體物質反應。
本技術的實施例可允許低壓蝕刻熱氧化物而不實質上降低相對於矽蝕刻的選擇性。鍍鎳的腔室部件可減少未反應自由基的量。在較少未反應自由基的情況下,自由基更可能蝕刻熱氧化物並且不呈現對矽的蝕刻。 I.系統概述
1 中所示,本技術的實施例可包括半導體處理系統100。系統100可包括遠端電漿區域。遠端電漿區域可包括遠端電漿源102。
系統100亦可包括由隔離器104界定的通道與遠端電漿區域流體耦合的處理區域。隔離器104可為陶瓷材料,諸如氧化鋁。隔離器104可能不鍍有鎳。處理區域可包括從氣體與電漿流出物混合處到電漿流出物與基板反應以離開腔室處的腔室區。處理區域可包括從隔離器104到埠但不包括隔離器104的區域,該埠係從腔室到泵的。
系統100可進一步包括流體耦合至隔離器104的進氣口106。進氣口可界定在進入處理區域之前不經過遠端電漿區域的氣體的流動路徑。來自電漿源102的電漿流出物可穿過輸入口108進入隔離器104。進氣口106和輸入口108可設置在遠端電漿源(remote plasma source; RPS)配接器110中。RPS配接器110允許遠端電漿源連接到腔室。RPS配接器110、進氣口106及輸入口108可能不鍍有鎳。
隔離器104的下游為混合歧管112。混合歧管112可界定實質上不是直的流動路徑。例如,混合歧管可包括流動路徑大小的減小(例如,漸縮)及/或擴展,以使氣體與電漿流出物混合。混合歧管112可通向氣盒114。氣盒加熱器116可設置在氣盒114上。
在氣盒114之後,系統100可經配置為使得電漿流出物及其他氣體經過均勻阻隔器118、均勻面板120及均勻選擇性模組化元件(selective modular device; SMD) 122。系統100可包括至少部分由均勻SMD 122及間隔件124界定的反應區域。反應區域可為處理區域的一部分。
處理區域可包括經配置以支撐基板的基座126。基座可鍍有鎳,但由於基板可覆蓋基座,鍍鎳基座可能不影響蝕刻的選擇性。基板可為半導體晶圓,包括矽晶圓。系統100可包括設置在基座126的圓周上的圓環(例如,邊緣環128)。圓環可鍍有鎳。
系統100可包括泵送襯墊/通道130。泵送襯墊/通道130可包括從腔室到泵的出口。系統100亦可包括蓋板嵌件132。
處理區域可包括從混合歧管112到泵送襯墊/通道130界定的區域。處理區域可至少部分由側壁(例如,間隔件124或形成腔室壁的任何部件)及噴淋頭(例如,均勻SMD 122)界定。側壁及噴淋頭可鍍有鎳。從混合歧管112中混合氣體到泵送襯墊/通道130的一些或所有表面可具有鍍鎳表面,包括例如,無電鍍鎳或電鍍鎳。無電鎳可包括有硼鎳或有磷鎳。隔離器104下游的部件可具有鍍鎳表面。換言之,混合歧管112、氣盒114、均勻阻隔器118、均勻面板120、均勻SMD 122、間隔件124、邊緣環128及泵送襯墊/通道130可鍍有鎳或清除過量自由基的另一種金屬。壓力板、入口配接器及擴散器(未在第1圖中圖示,但在第8圖中圖示)可在隔離器104與混合歧管112之間,並且各者可鍍有鎳或清除過量自由基的另一種金屬。其他金屬可包括鉑或鈀,但二者均可能太過昂貴。鍍有鎳的部件可包括鍍覆之前與鎳不同的金屬。例如,部件可包括不鏽鋼或鋁。
第1圖係系統的簡圖。第8圖圖示了系統的類似圖且在下文描述。熟習此項技術者將理解第8圖中的從混合電漿流出物與隔離器104下游的氣體(例如,從壓力板4025)到離開腔室的任何部件(例如,金屬部件)可鍍有鎳。 II.方法
實施例包括蝕刻方法,該等方法可使用本文描述的蝕刻系統。 A.示例方法
2 中所示,本技術之實施例可包括蝕刻方法200。在方塊202中,方法200可包括在腔室的第一部分中混合電漿流出物與氣體以形成第一混合物。電漿流出物可包括來自使氨及含氟氣體流過電漿的流出物。含氟氣體可包括NF3 及/或HF。在一些實施例中,電漿流出物可包括來自使氨、NF3 、氬、H2 、氦及HF流過電漿的流出物。第一部分可包括鍍鎳材料,包括無電鍍鎳材料。第一部分可包括混合歧管,類似第1圖中的混合歧管112。在實施例中,第一部分可包括漸縮路徑(例如,第8圖中的中心孔4023)。與非漸縮路徑相比,漸縮路徑可混合更多氣體,這可允許鍍鎳部件清除自由基。氣體可包括氨或氫。
在混合電漿流出物之前,電漿流出物可流過腔室的不包括鍍鎳材料的部分。在引入氣體之前的腔室部件可能不鍍有鎳。例如,在第1圖中,RPS配接器110可能不鍍有鎳。與期望結果相反,用鎳鍍覆RPS配接器可減少所蝕刻氧化矽的量,但增加所蝕刻多晶矽的量。非金屬(例如,陶瓷)或不允許充分混合電漿流出物與氣體的腔室部件亦可不鍍有鎳。例如,在第1圖中,隔離器104係陶瓷並且不可容易地鍍鎳。此外,隔離器104不提供用於大量混合電漿流出物與氣體的幾何形狀。
在方塊204中,方法200亦可包括在腔室的第二部分中使第一混合物流到基板。腔室的第二部分可在10 Torr或更低的壓力下,該壓力可包括8至10 Torr、6至8 Torr、4至6 Torr、2至4 Torrr、1至2 Torr、或低於1 Torr。腔室的第二部分可包括基座,在處理期間基板可位於該基座處。第二部分可包括鍍鎳材料,包括本文描述的任何鍍鎳材料。第一混合物可在腔室中在從第一部分到第二部分的路徑中流動。路徑可由腔室的鍍鎳部件界定。從大量混合電漿流出物與氣體到腔室出口的路徑可由鍍有鎳的表面界定,不由未鍍有鎳的表面中斷。
在方塊206中,方法200可進一步包括使第一混合物與基板反應以相對於第二層選擇性蝕刻第一層。第一層可為熱氧化矽層。第二層可為矽層,包括多晶矽層。第二層可為任何層,該層可由氟自由基或氫自由基蝕刻。使第一混合物與基板反應可包括蝕刻小於1埃的第二層及大於50埃的第二層。在實施例中,第二層可具有大於50埃、大於100埃、200埃或300埃的蝕刻量,而第一層具有小於1埃的蝕刻量,包括小於0.5埃或約0埃。相對於矽蝕刻氧化物的選擇性可大於100、200、300、400、500、600、700、800、900或1000。
在方塊208中,方法200可包括形成第二混合物,該第二混合物包括來自第一混合物與基板反應的產物。方法200亦可包括使第二混合物流過腔室的一部分以離開腔室,其中通向離開腔室的腔室表面包括鍍鎳材料。例如,在第1圖中,泵送襯墊/通道130可為鎳鍍的。
方法200可包括將氟原子或氫原子吸附至鍍鎳材料上。從與基板反應移除氟原子或氫原子可幫助維持矽的可忽略的蝕刻同時蝕刻熱氧化物。
方法200可進一步包括從腔室移除基板並在基板上執行額外圖案化操作。 B.示例方法
3 中所示,本技術之實施例可包括蝕刻方法300。在方塊302中,方法300可包括使包括氨及含氟氣體的第一氣體流過電漿以形成電漿流出物。第一氣體可係本文描述的任何氣體。
在方塊304中,方法300亦可包括使電漿流出物流過腔室的第一部分。第一部分可能不包括鍍鎳材料。第一部分可包括非金屬或不具有電漿流出物與氣體的充分混合的腔室部件。第一部分可係如本文描述的未鍍有鎳的腔室之任何部分。
在方塊306中,方法300可進一步包括在腔室的第二部分中混合包括氨之第二氣體與電漿流出物以形成第一混合物。第二氣體在與電漿流出物混合物之前可能不經過電漿。腔室的第二部分可能在氣體經歷充分混合的位置處。例如,在第1圖中,腔室的第二部分可包括混合歧管112。腔室的第二部分可包括漸縮孔,並且可包括第8圖中的壓力板4025、入口配接器4030、擴散器4035和混合歧管4040。
在方塊308中,方法300可包括在基板的第三部分中使第一混合物流到腔室。腔室的第三部分可包括在蝕刻基板處的腔室部分。在第1圖中,腔室的第三部分可至少部分由均勻SMD 122及間隔件界定,並且可包括基座126。
在方塊310中,方法300亦可包括使第一混合物與基板反應以相對於矽層選擇性蝕刻氧化矽層。氧化矽層及矽層可係本文描述的任何此種層並且可如本文所描述來選擇性蝕刻。
在方塊312中,方法300可包括形成第二混合物,該第二混合物包含來自第一混合物與基板反應的產物。產物可包括來自蝕刻氧化矽的蝕刻副產物。
在方塊314中,第二混合物可流過腔室的第四部分以離開腔室。腔室的第四部分可至少部分由泵埠或例如第1圖中的泵送襯墊/通道130界定。離開腔室可包括在與系統經配置以接收基板的部分明顯不同的壓力下進入系統的部分。
第二部分、第三部分和第四部分可包括鍍鎳材料。第一混合物可在從第二部分到第四部分的腔室中的路徑中流動。路徑可係連續的並且可由腔室之鍍鎳部件來界定。從第二部分到第四部分的任何表面可鍍有鎳,並且可能不包括缺乏鍍鎳的表面。如關於第1圖描述,從混合歧管112到泵送襯墊/通道130並且包括混合歧管112的任何及所有部件(除了基座126之外)可鍍有鎳。在第8圖中,從壓力板4025到離開腔室並且包括壓力板4025的任何及所有部件可鍍有鎳。 III.實例
量測不具有任何鍍鎳部件的系統的蝕刻量以及具有鍍鎳部件的系統的蝕刻量。具有鍍鎳部件的系統係與第1圖類似之系統,其中隔離器104下游之部件鍍有鎳(包括混合歧管112、氣盒114、均勻阻隔器118、均勻面板120、均勻SMD 122、間隔件124、邊緣環128及泵送襯墊/通道130,以及壓力板、入口配接器、及未在第1圖中圖示的擴散器)。RPS配接器110及隔離器104未鍍有鎳。不具有鍍鎳部件之系統具有替代的陽極化鋁塗層來替代。NH3 、NF3 、氬、H2 、氦及HF之氣體混合物流過遠端電漿源。電漿流出物隨後與氨混合並流動以蝕刻基板。量測熱氧化物及多晶矽的蝕刻量。
4A 4B 4C 圖示了利用鎳塗佈腔室及陽極化鋁腔室蝕刻的結果。在第4A圖中,x軸係腔室壓力。左側的y軸圖示以埃計的熱氧化物蝕刻量。此製程期望較高的熱氧化物蝕刻量。菱形圖示鎳塗層的熱氧化物蝕刻量,並且三角形圖示陽極化鋁塗層的熱氧化物蝕刻量。對於從7 Torr至10 Torr的壓力,兩種系統均圖示類似的熱氧化物蝕刻量。
右側的y軸圖示以埃計的矽蝕刻量。方形圖示鎳塗層的矽蝕刻量,並且x軸圖示陽極化鋁塗層的矽蝕刻量。在10 Torr下,鎳塗佈系統及陽極化鋁塗佈系統均圖示接近零的矽蝕刻量。然而,隨著壓力減小,陽極化鋁塗佈系統的矽蝕刻量增加。在6 Torr下,陽極化鋁塗佈導致蝕刻約10埃的矽。相比之下,甚至在4 Torr的最低測試壓力下,鎳塗佈之系統圖示幾乎無矽蝕刻量。
4B 圖示來自第4A圖的結果,但僅針對鎳塗佈之系統。該圖在左手y軸上圖示熱氧化物蝕刻量、在右手y軸上圖示矽蝕刻量、以及在x軸上圖示腔室壓力。繪製了熱氧化物蝕刻量,並且隨著壓力減小,熱氧化物蝕刻量減小。未測量到任何腔室壓力的矽蝕刻量。因此,在此實例中,鎳塗佈之系統圖示蝕刻熱氧化物相對於矽的無限選擇性。
4C 圖示了來自第4A圖的結果,但僅針對陽極化鋁系統。該圖在左手y軸上圖示熱氧化物蝕刻量、在右手y軸上圖示矽蝕刻量、以及在x軸上圖示腔室壓力。繪製了熱氧化物蝕刻量,並且隨著壓力減小,熱氧化物蝕刻量減小。隨著壓力減小,矽的蝕刻量增加。在7 Torr的壓力下,矽蝕刻量係約10埃,而熱氧化物蝕刻量係約250埃。在7 Torr下的選擇性略微大於25。結果指示隨著腔室壓力減小,矽蝕刻量將繼續增加,並且熱氧化物蝕刻量將繼續減小。因此,在小於7 Torr的壓力下,吾人將期望選擇性低於25。 Ⅳ.示例性處理系統
可實現本發明之實施例的處理腔室可包括在處理平台內,諸如Producer® SelectraTM蝕刻系統,獲自加州圣克拉拉市的應用材料公司。
5 圖示了根據所揭示實施例的沉積、蝕刻、烘焙及固化腔室的處理工具1000的一個實施例的頂部平面圖。在該圖中,一對前開式晶圓傳送盒(front opening unified pod; FOUP) 1002供應各種大小的基板,該等基板由機器臂1004接收並在放置到位於串聯部分1009a-c中的基板處理腔室1008a-f之一中之前放置到低壓保持區域1006內。第二機器臂1010可用於將基板晶圓從保持區域1006運輸到基板處理腔室1008a-f並返回。可配備每個基板處理腔室1008a-f來執行數個基板處理操作,除了循環層沉積(cyclical layer deposition; CLD)、原子層沉積(atomic layer deposition; ALD)、化學氣相沉積(chemical vapor deposition; CVD)、物理氣相沉積(physical vapor deposition; PVD)、蝕刻、預清潔、除氣、定向及其他基板製程之外,該等基板處理操作包括本文描述的乾式蝕刻製程。
基板處理腔室1008a-f可包括一或多個系統部件,該等部件用於在基板晶圓上沉積、退火、固化及/或蝕刻膜。在一種配置中,兩對處理腔室(例如,1008c-d及1008e-f)可用於在基板上沉積材料,並且第三對處理腔室(例如,1008a-b)可用於蝕刻所沉積的膜。在另一種配置中,所有三對腔室(例如,1008a-f)可經配置以在基板上蝕刻膜。所描述的製程的任何一或多種可在與不同實施例中圖示的製造系統分離的腔室中執行。膜可為介電、保護性或其他材料。將瞭解到,處理工具1000預想到用於膜的沉積、蝕刻、退火及固化腔室的額外配置。
6A 圖示了在處理腔室內具有劃分之電漿產生區域的示例性處理腔室部分2000的橫截面圖。在膜蝕刻期間,例如,矽、多晶矽、氧化矽、氮化矽、氮氧化矽、碳氧化矽、含碳材料等等,處理氣體可穿過進氣口組件2005流至第一電漿區域2015中。遠端電漿系統(remote plasma system; RPS)單元2001可包括在該系統中,並且可處理氣體,該氣體隨後可行進穿過進氣口組件2005。入口組件2005可包括兩個或更多個相異的氣體供應通道,其中第二通道(未圖示)可繞過RPS單元2001。由此,在所揭示之實施例中,可將前驅物氣體遞送至處於未激發狀態的處理腔室。在另一實例中,在所揭示之實施例中,穿過RPS提供的第一通道可用於處理氣體(process gas),並且繞過RPS的第二通道可用於處理氣體(treatment gas)。處理氣體可在進入第一電漿區域2015之前在RPS單元2001內激發。由此,在所揭示之實施例中,例如,含氟前驅物可經過RPS 2001或繞過RPS單元。將類似地理解此佈置涵蓋的各種其他實例。
圖示了冷卻板2003、面板2017、離子抑制件2023、噴淋頭2025及基座2065(其上設置有基板2055)並且上述各者可根據所揭示之實施例包括在內。基座2065可具有熱交換通道,熱交換流體流過該熱交換通道以控制基板溫度。此配置可允許冷卻或加熱基板2055溫度以維持相對低溫度,諸如在約-20℃至約200℃之間,或其間。熱交換流體可包含乙二醇及/或水。基座2065的晶圓支撐盤(其可包含鋁、陶瓷或其組合)亦可使用嵌入式電阻加熱器元件來電阻式加熱以達到相對高溫度,諸如從最高或約100℃至高於或約1100℃。加熱元件可在基座內形成為一或多個迴路,並且加熱器元件的外部可鄰近支撐盤的周邊延伸,而內部在具有較小半徑之同心圓路徑上延伸。到加熱器元件的接線可經過基座2065的軸桿,該軸桿可經進一步配置以旋轉。
面板2017可係角錐、圓錐、或另一類似結構,其中窄頂部延伸至寬底部。如圖所示,面板2017可另外為平坦的,並且包括用於分配處理氣體的複數個通道。取決於使用RPS 2001,電漿產生氣體及/或電漿激發物質可經過面板2017中的複數個孔洞,用於更均勻遞送至第一電漿區域2015中。
示例性配置可包括具有通向由面板2017從第一電漿區域2015劃分的氣體供應區域2058的進氣口組件2005,使得氣體/物質穿過面板2017中的孔洞流至第一電漿區域2015中。可選擇結構及操作特徵來防止電漿從第一電漿區域2015顯著回流返回到供應區域2058、進氣口組件2005及流體供應系統(未圖示)中。結構特徵可包括選擇面板2017中的孔的尺寸及截面幾何形狀以去活化回流電漿。操作特徵可包括維持氣體供應區域2058與第一電漿區域2015之間的壓力差,該壓力差維持電漿穿過噴淋頭2025的單向流動。面板2017或腔室的導電頂部、以及噴淋頭2025經圖示為具有位於特徵之間的絕緣環2020,這允許相對於噴淋頭2025及/或離子抑制件2023將AC電勢施加到面板2017。絕緣環2020可定位在面板2017與噴淋頭2025及/或離子抑制件2023之間,從而使得在第一電漿區域中形成電容耦合之電漿(capacitively coupled plasma; CCP)。擋板(未圖示)可另外位於第一電漿區域2015中、或以其他方式與進氣口組件2005耦合,以影響流體穿過進氣口組件2005到區域中的流動。
離子抑制件2023可包含板或在整個結構中界定複數個孔的其他幾何形狀,該結構經配置以抑制帶電物質(例如,離子)遷移出電漿激發區域2015,同時允許不帶電之中性或自由基物質經過離子抑制件2023到抑制器與噴淋頭之間的活化氣體遞送區域中。在所揭示之實施例中,離子抑制件2023可包含具有各種孔配置的穿孔板。此等不帶電物質可包括利用較少反應性載送氣體運輸穿過孔的高反應性物質。如上文提及,離子物質穿過孔洞的遷移可減少,並且在一些情況中被完全抑制。控制經過離子抑制件2023之離子物質的量可提供對與下層晶圓基板接觸的氣體混合物的增加之控制,這繼而可增加對氣體混合物之沉積及/或蝕刻特性的控制。例如,調節氣體混合物之離子濃度可以顯著改變其蝕刻選擇性。在執行沉積的替代實施例中,其亦可以偏移介電材料、含碳材料及其他材料的保形對可流動類型沉積的平衡。
離子抑制件2023中的複數個孔洞可經配置以控制活化氣體(亦即,離子、自由基及/或中性物質)穿過離子抑制件2023的通過。例如,可控制孔洞的深寬比或孔洞直徑與長度比及/或孔洞的幾何形狀,使得減少經過離子抑制件2023之活化氣體中的帶離子電荷之物質的流動。離子抑制件2023中的孔洞可包括面向電漿激發區域2015的漸縮部分,以及面向噴淋頭2025的圓柱部分。可調整圓柱部分的形狀及尺寸來控制經過噴淋頭2025的離子物質的流動。可調節之電偏壓亦可施加至離子抑制件2023,作為控制穿過抑制器的離子物質之流動的額外手段。
離子抑制元件2023可用於減少或消除從電漿產生區域行進到基板的帶離子電荷之物質的量。不帶電的中性及自由基物質仍可經過離子抑制件中的開口來與基板反應。應當注意,在圍繞基板之反應區域中完全消除帶離子電荷的物質並非總是期望的目的。在眾多情況中,需要離子物質到達基板以執行蝕刻及/或沉積製程。在該等情況中,離子抑制件可幫助將反應區域中的離子物質濃度控制在輔助製程的水平。
與離子抑制件2023結合的噴淋頭2025可允許在腔室電漿區域2015中存在電漿以避免在基板處理區域2033中直接激發氣體,同時仍允許激發之物質從腔室電漿區域2015行進至基板處理區域2033中。以此方式,腔室可經配置以防止電漿接觸正在蝕刻的基板2055。這可有利地保護各種複雜結構及在基板上圖案化之膜,若由所產生電漿直接接觸,該等複雜結構及膜可被破壞、錯位、或以其他方式扭曲。此外,當允許電漿接觸由溝槽暴露的下層材料,諸如蝕刻終止,下層材料蝕刻的速率可增加。
處理系統可進一步包括電源供應器2040,電源供應器2040與處理腔室電氣耦合以向面板2017、離子抑制件2023、噴淋頭2025及/或基座2065提供電力以在第一電漿區域2015或處理區域2033中產生電漿。電源供應器可經配置以取決於所執行的製程將可調節電量遞送至腔室。此配置可允許在正執行的製程中使用可調諧電漿。與經常存在有開或關功能的遠端電漿單元不同,可調諧電漿可經配置以將特定電量遞送至電漿區域2015。這繼而可允許發展特定電漿特性,使得前驅物可以特定方式解離來增強由此等前驅物產生的蝕刻輪廓。
電漿可在噴淋頭2025之上的腔室電漿區域2015中或在噴淋頭2025之下的基板處理區域2033中點燃。電漿可在腔室電漿區域2015中存在以由含氟前驅物的流入產生氟自由基前驅物。通常在射頻(radio frequency; RF)範圍中的AC電壓可在處理腔室的導電頂部(諸如面板2017)與噴淋頭2025及/或離子抑制件2023之間施加以在沉積期間點燃腔室電漿區域2015中的電漿。RF電源供應器可產生13.56 MHz的高RF頻率,但亦可單獨或與13.56 MHz頻率結合地產生其他頻率。
電漿功率可以具有各種頻率或多個頻率的組合。在示例性處理系統中,電漿可相對於離子抑制件2023及/或噴淋頭2025由遞送至面板2017之RF功率提供。在不同實施例中,RF功率可在約10瓦與約2000瓦之間、約100瓦與約2000瓦之間、約200瓦與約1500瓦之間、或約200瓦與約1000瓦之間。在不同實施例中,在示例性處理系統中施加的RF功率可係小於約200 kHz的低RF功率、在約10 MHz與約15 MHz之間的高RF功率、或大於或約1 GHz的微波頻率。電漿功率可電容耦合(capacitively-coupled; CCP)或電感耦合(inductively-coupled; ICP)至遠端電漿區域中。
當打開基板處理區域2033中的底部電漿以例如固化膜或清潔與基板處理區域2033相鄰之內表面時,頂部電漿區域2015可保持低功率或無功率。基板處理區域2033中的電漿可藉由在噴淋頭2025與基座2065或腔室底部之間施加AC電壓來點燃。可在存在電漿時將清潔氣體引入基板處理區域2033中。
藉由本文描述的噴淋頭之實施例,流體(諸如前驅物,例如含氟前驅物)可流到處理區域2033中。在電漿區域2015中從處理氣體得到的激發物質可行進穿過離子抑制件2023中的孔及/或噴淋頭2025,並且與從噴淋頭的獨立部分流到處理區域2033中的額外前驅物反應。或者,若所有前驅物物質正在電漿區域2015中激發,則無額外前驅物可流過噴淋頭的獨立部分。極少或沒有電漿可存在於處理區域2033中。前驅物的激發之衍生物可在基板之上的區域中結合,並且在所揭示的應用中有時在基板上結合以蝕刻結構或移除基板上的物質。
直接激發第一電漿區域2015中的流體或激發RPS單元2001中的流體可提供若干益處。歸因於第一電漿區域2015中的電漿,從流體得到的激發物質的濃度可在處理區域2033內增加。此增加可獲自第一電漿區域2015中的電漿位置。與遠端電漿系統(remote plasma system; RPS) 2011相比,處理區域2033可位於更靠近第一電漿區域2015處,從而為激發物質餘留較少時間,以經由與其他氣體分子、腔室壁及噴淋頭表面的碰撞而保持在激發狀態。
從處理氣體得到的激發物質的濃度均勻性亦可在處理區域2033內增加。這可獲自第一電漿區域2015的形狀,該形狀可更類似處理區域2033的形狀。相對於經過噴淋頭2025之中心附近的孔的物質,在RPS單元2001中產生的激發物質可行進更大距離,以經過噴淋頭2025之邊緣附近的孔。較大距離可導致激發物質的減少激發,例如,可導致基板邊緣附近的較慢生長速率。對於流過RPS 2001的流體,在第一電漿區域2015中激發流體可減輕此變化。
處理氣體可在RPS單元2001中激發,並且可以激發狀態經過噴淋頭2025到處理區域2033。或者,可將電力施加至第一處理區域以激發電漿氣體或增強已經從RPS激發的處理氣體。儘管電漿可在處理區域2033中產生,電漿可替代地不在處理區域中產生。在一個實例中,僅激發處理氣體或前驅物可來自激發RPS單元2001中的處理氣體以在處理區域2033中與基板2055反應。
除了流體前驅物之外,可存在在不同時間出於不同目的引入的其他氣體,包括輔助遞送的載送氣體。可引入處理氣體以從腔室壁、基板、所沉積膜及/或沉積期間的膜移除不期望的物質。處理氣體可在電漿中激發並隨後用於減少或移除腔室內部的殘餘內含物。在其他揭示之實施例中,可在沒有電漿的情況下使用處理氣體。當處理氣體包括水蒸氣時,遞送可使用質量流量計(mass flow meter; MFM)、質量流量控制器(mass flow controller; MFC)、噴射閥或藉由市售之水蒸氣產生器來實現。可穿過RPS單元或繞過RPS單元將處理氣體引到處理區域2033,並且處理氣體可在第一電漿區域中進一步激發。
6B 圖示了影響穿過面板2017的處理氣體分配的特徵的細節圖。如第6A圖及第6B圖所示,面板2017、冷卻板2003及進氣口組件2005交叉以界定氣體供應區域2058,可將處理氣體從進氣口2005遞送至氣體供應區域2058中。氣體可填充氣體供應區域2058並且穿過面板2017中的孔2059流到第一電漿區域2015。孔2059可經配置以實質上單向方式引導流動,使得處理氣體可流到處理區域2033中,但在橫穿面板2017之後可部分或完全防止處理氣體回流到氣體供應區域2058中。
用於處理腔室部分2000中的氣體分配組件(諸如噴淋頭2025)可被稱為雙通道噴淋頭(dual channel showerhead; DCSH)並且在本文的第6A圖以及第7圖中描述的實施例中另外詳述。雙通道噴淋頭可提供蝕刻製程,該等蝕刻製程允許分離處理區域2033外部的蝕刻劑來在遞送至處理區域中之前提供與腔室部件及彼此的受限相互作用。
噴淋頭2025可包含上板2014及下板2016。板可彼此耦合以界定板之間的容積2018。板的耦合可係用以提供穿過上板及下板的第一流體通道2019及穿過下板2016的第二流體通道2021。所形成之通道可經配置以提供從容積2018穿過下板2016單獨經由第二流體通道2021的流體通路,並且第一流體通道2019可與在板與第二流體通道2021之間的容積2018流體隔離。可穿過氣體分配組件2025的一側流體進入容積2018。儘管第6A圖的示例性系統包括雙通道噴淋頭,將理解可利用替代分配組件來在處理區域2033之前維持第一與第二前驅物流體隔離。例如,可利用多孔板及該板下面的管,儘管其他配置可以降低效率操作,或不提供如所描述的雙通道噴淋頭那般的均勻處理。
在所示的實施例中,噴淋頭2025可經由第一流體通道2019分配處理氣體,該等處理氣體在由腔室電漿區域2015中或來自RPS單元2001的電漿激發時含有電漿流出物。在實施例中,引入RPS單元2001及/或腔室電漿區域2015中的處理氣體可含有氟(例如,CF4 、NF3 或XeF2 )、氧(例如,N2 O)或含氫前驅物(例如,H2 或NH3 )。一種或兩種處理氣體亦可包括載送氣體,諸如氦、氬、氮(N2 )等等。電漿流出物可包括處理氣體的離子化或中性衍生物,並且本文亦可被稱為氟自由基前驅物,指所引入的處理氣體的原子構成。在實例中,含氟氣體(諸如NF3 )可在RPS單元2001中激發並經過區域2015及2033,而在彼等區域中不額外產生電漿。來自RPS單元2001的電漿流出物可經過噴淋頭2025並隨後與基板2055反應。在經過噴淋頭2025之後,電漿流出物可包括自由基物質,並且可基本上不含離子物質或UV光。此等電漿流出物可與基板2055上的膜(例如,氮化鈦及其他遮罩材料)反應。
用於處理腔室部分2000中的氣體分配組件2025被稱為雙通道噴淋頭(dual channel showerhead; DCSH)並且在本文的第7圖中描述的實施例中詳述。雙通道噴淋頭可允許材料的可流動沉積,以及在操作期間前驅物及處理流體的分離。噴淋頭可替代地用於蝕刻製程,該等蝕刻製程允許分離反應區外部的蝕刻劑以在遞送至處理區域中之前提供與腔室部件及彼此的受限相互作用。
7 係根據所揭示之實施例的與處理腔室一起使用的噴淋頭3025的仰視圖。噴淋頭3025可與第6A圖所示的噴淋頭對應。通孔3065(其圖示第一流體通道2019的視圖)可具有複數個形狀及配置,以控制並影響前驅物穿過噴淋頭3025的流動。小孔洞3075(其圖示第二流體通道2021的視圖)可實質上均勻地在噴淋頭的表面上方(甚至在通孔3065之中)分配,與其他配置相比,這可幫助在前驅物離開噴淋頭時提供該等前驅物的更均勻混合。
8 圖示了根據本技術之實施例的示例性處理系統4000的示意性橫截面圖。系統4000可係第1圖中的系統100的變化。系統4000亦可包括第6A圖中示出之腔室的變化,並且可包括在彼圖中示出的一些或所有部件。系統4000可包括處理腔室4005及遠端電漿單元4010。遠端電漿單元4010可利用一或多個部件與處理腔室4005耦合。遠端電漿單元4010可與遠端電漿單元配接器4015、隔離器4020、壓力板4025、入口配接器4030、擴散器4035或混合歧管4040中的一或多個耦合。混合歧管4040可與處理腔室4005的頂部耦合,並且可與到處理腔室4005的入口耦合。
遠端電漿單元配接器4015可在第一端4011處與遠端電漿單元4010耦合,並且可在與第一端4011相對的第二端4012處與隔離器4020耦合。可穿過單元電漿單元配接器4015界定一或多個通道。在第一端4010處可界定到通道4013的開口或埠。通道4013可在遠端電漿單元配接器4015內的中心處界定,並且可由在與穿過遠端電漿單元配接器4015之中心軸線正交的方向上(其可在從遠端電漿單元4010流動的方向上)的第一橫截面積表徵。通道4013的直徑可等於從遠端電漿單元4010的出口埠或與該出口埠相同。通道4013可由從第一端4011到第二端4012的長度表徵。通道4013可延伸穿過遠端電漿單元配接器4015的整個長度,或小於從第一端4011到第二端4012之長度的長度。例如,通道4013可延伸小於從第一端4011到第二端4012的長度的一半,通道4013可延伸從第一端4011到第二端4012的長度的一半,通道4013可延伸大於從第一端4011到第二端4012的長度的一半,或通道4013可延伸從遠端電漿單元配接器4015的第一端4011到第二端4012的長度的約一半。
遠端電漿單元配接器4015亦可界定在遠端電漿單元配接器4015下面界定的一或多個溝槽4014。溝槽4014可係或包括在遠端電漿單元配接器4015內界定的一或多個環形凹陷,以允許放置O形環或彈性元件,這可允許與隔離器4020耦合。
在實施例中,隔離器4020可與遠端電漿單元配接器4015的第二端4012耦合。隔離器4020可係或包括繞著隔離器通道4021的環形構件。隔離器通道4021可與中心軸在穿過遠端電漿單元配接器4015流動的方向上軸向對準。隔離器通道4021可由在與穿過隔離器4020的流動方向正交的方向上的第二橫截面積表徵。第二橫截面積可等於、大於或小於通道4013的第一橫截面積。在實施例中,隔離器通道4021可由一直徑表徵,該直徑大於、等於或約與通道4012穿過遠端電漿單元配接器4015的直徑相同。
隔離器4020可由與遠端電漿單元配接器4015、混合歧管4040或任何其他腔室部件類似或不同的材料製成。在一些實施例中,儘管遠端電漿單元配接器4015及混合歧管4040可由鋁製成或包括鋁,包括鋁氧化物、在一或多個表面上處理的鋁、或一些其他材料,但隔離器4020可係或包括與其他腔室部件相比較低導熱性的材料。在一些實施例中,隔離器4020可係或包括陶瓷、塑膠、或其他熱絕緣部件,該部件經配置以在遠端電漿單元4010與腔室4005之間提供熱障。在操作期間,遠端電漿單元4010可經冷卻或相對於腔室4005在較低溫度下操作,而腔室4005可經加熱或相對於遠端電漿單元4010在較高溫度下操作。提供陶瓷或熱絕緣隔離器4020可防止或限制部件之間的熱、電氣或其他干擾。
壓力板4025可與隔離器4020耦合。在實施例中,壓力板4025可係或包括鋁或另一材料,並且壓力板4025可由一種材料製成或包括該種材料,在實施例中,該種材料與遠端電漿單元配接器4015或混合歧管4040類似或不同。壓力板4025可界定穿過壓力板4025的中心孔4023。中心孔4023可由穿過壓力板4025從靠近隔離器通道4021的一部分到壓力板4025的相對側面的漸縮形狀表徵。中心孔4023靠近隔離器通道4021的一部分可由與流動方向正交的橫截面積表徵,該橫截面積等於或類似於隔離器通道4021的橫截面積。中心孔4023可由跨越壓力板4025的長度大於或約10%的漸縮百分比表徵,並且在實施例中,可由大於或約20%、大於或約30%、大於或約40%、大於或約50%、大於或約60%、大於或約70%、大於或約80%、大於或約90%、大於或約100%、大於或約150%、大於或約200%、大於或約300%或更大的漸縮百分比表徵。壓力板4025亦可界定在隔離器4020下面界定的一或多個溝槽4024。溝槽4024可係或包括在壓力板4025內界定一或多個環形凹陷,以允許放置O形環或彈性元件,這可允許與隔離器4020耦合。
入口配接器4030可在第一端4026處與壓力板4025耦合,並且在與第一端4026相對的第二端4027處與擴散器4035耦合。入口配接器4030可界定穿過入口配接器4030界定的中心通道4028。中心通道4028可由第一部分4029a及第二部分4029b表徵。第一部分4029a可穿過入口配接器4030從第一端4026延伸至第一長度,其中中心通道4028可過渡至第二部分4029b,其可延伸至第二端4027。第一部分4029a可由第一橫截面積或直徑表徵,並且第二部分4029b可由小於第一部分的第二橫截面積或直徑表徵。在實施例中,第一部分4029a的橫截面積或直徑可係第二部分4029b的橫截面積或直徑的兩倍大,並且在實施例中可多達或大於約三倍大、大於或約4倍大、大於或約5倍大、大於或約6倍大、大於或約7倍大、大於或約8倍大、大於或約9倍大、大於或約10倍大或更大。在實施例中,中心通道4028可經配置以提供從遠端電漿單元4010遞送的前驅物的電漿流出物,其可經過遠端電漿單元配接器4015的通道4013、隔離器4020的隔離器通道4021、及壓力板4025的中心孔4023。
入口配接器4030亦可界定一或多個第二通道4031,其可從第一部分4029a下方延伸到第二端4027或延伸穿過第二端4027。第二通道4031可由與穿過入口配接器4030的中心軸正交的方向上的第二橫截面積表徵。在實施例中,第二橫截面積可小於第一部分4029a的第一橫截面積,並且可大於第二部分4029b的橫截面積或直徑。第二通道4031可延伸至在第二端4027處從入口配接器4030的出口(exit),並且可為從遠端電漿單元4010交替遞送的前驅物(諸如第一旁路前驅物)提供從配接器4030的出口(egress)。例如,第二通道4031可從沿著入口配接器4030的外表面(諸如側面)界定的第一埠4032流體進入,該第二通道可繞過遠端電漿單元4010。第一埠4032可沿著入口配接器4030的長度在第一部分4029a處或下方,並且可經配置以提供到第二通道4031的流體通路。
第二通道4031可將前驅物遞送穿過入口配接器4030並遞送出第二端4027。第二通道4031可在第一部分4029a與第二端4027之間的入口配接器4030的區域中界定。在實施例中,第二通道4031可能無法從中心通道4028進入。第二通道4031可經配置以維持前驅物與從遠端電漿單元4010被遞送至中心通道4028中的電漿流出物流體隔離。第一旁路前驅物可能不接觸電漿流出物,直至穿過第二端4027離開入口配接器4030。第二通道4031可包括在配接器4030中界定的一或多個通道。第二通道4031可位於配接器4030內的中心處,並且可與中心通道4028相關聯。例如,在實施例中,第二通道4031可與中心通道4028同心對準並繞著中心通道4028界定。在實施例中,第二通道4031可係部分穿過入口配接器4030的長度或垂直橫截面延伸的環形或圓柱通道。在一些實施例中,第二通道4031亦可係繞著中心通道4028徑向延伸的複數個通道。
入口配接器4030亦可界定一或多個第三通道4033,其可在第一部分4029a下方延伸到第二端4027或延伸穿過第二端4027,並且可從等分第一埠4032的平面下方延伸。第三通道4033可由在穿過入口配接器4030與中心軸正交的方向上的第三橫截面積表徵。在實施例中,第三橫截面積可小於第一部分4029a的橫截面積,並且可大於第二部分4029b的橫截面積或直徑。第三橫截面積亦可等於或類似於如示出的第一部分4029a的橫截面積或直徑。例如,第三通道4033的外直徑可等同於第一部分4029a的外直徑,或可小於第一部分4029a的外直徑。第三通道4033可在第二端4027處延伸到從入口配接器4030的出口,並且可為從遠端電漿單元4010交替遞送的前驅物(諸如第二旁路前驅物)提供從配接器4030的出口(egress)。例如,第三通道4033可從沿著入口配接器4030的外表面(諸如側面)界定的第二埠4034流體進入,該第三通道其可繞過遠端電漿單元4010。第二埠4034可位於入口配接器4030與第一埠4032相對的側面或部分上。第二埠4034可沿著入口配接器4030的長度在第一部分4029a處或下方,並且可經配置以提供到第三通道4033的流體通路。在實施例中,第二埠4034亦可沿著入口配接器4030的長度在第一埠4032處或下方。
第三通道4033可將第二旁路前驅物遞送穿過入口配接器4030並遞送出第二端4027。第三通道4033可在第一部分4029a與第二端4027之間的入口配接器4030的區域中界定。在實施例中,第三通道4033可能無法從中心通道4028進入。第三通道4033可經配置以維持第二旁路前驅物與從遠端電漿單元4010被遞送至中心通道4028中的電漿流出物流體隔離,或與穿過第一埠4032被遞送至第二通道4031中的第一旁路前驅物流體隔離。第二旁路前驅物可能不接觸電漿流出物或第一旁路前驅物,直至穿過第二端4027離開入口配接器4030。第三通道4033可包括在配接器4030中界定的一或多個通道。第三通道4033可位於配接器4030內的中心處,並且可與中心通道4028及第二通道4031相關聯。例如,在實施例中,第三通道4033可繞著中心通道4028同心對準並界定,並且可繞著第二通道4031同心對準並界定。在實施例中,第三通道4033可係部分延伸穿過入口配接器4030的長度或垂直截面的第二環形或圓柱通道。在一些實施例中,第三通道4033亦可係繞著中心通道4028徑向延伸的複數個通道。
擴散器4035可定位在入口配接器4030與混合歧管4040之間以維持流體隔離穿過入口配接器4030遞送的前驅物,直至進入混合歧管4040。擴散器4035可由一或多個通道(諸如穿過擴散器4035界定的圓柱或環形通道)表徵。在實施例中,擴散器4035可界定第一通道4036或中心通道、第二通道4037及第三通道4038。該等通道可由與中心通道4028的第二部分4029b、第二通道4031、及入口配接器4030之第三通道4033類似的尺寸或直徑表徵。例如,每個通道可將入口配接器通道延伸到混合歧管4040。第二通道4037及第三通道4038各者可係繞著第一通道4036界定的環形通道,並且第一通道4036、第二通道4037及第三通道4038可在實施例中同心對準並穿過擴散器4035界定。
擴散器4035可另外繞著擴散器4035界定一或多個溝槽4039。例如,在實施例中,擴散器4035可界定第一溝槽4039a、第二溝槽4039b及第三溝槽4039c,這可允許在入口配接器4030與擴散器4035之間放置O形環或彈性構件。在實施例中,每個溝槽4039可係在穿過擴散器4035界定的一或多個通道外部徑向放置的環形溝槽。第一溝槽4039a可位於從第一通道4036徑向向外,並且可位於第一通道4036與第二通道4037之間。第二溝槽4039b可位於從第二通道4037徑向向外,並且可位於第二通道4037與第三通道4038之間。第三溝槽4039c可位於從第三通道4038徑向向外。每個溝槽4039的直徑可大於其相關聯的通道及其可在外部徑向定位的通道。溝槽可實現在入口配接器4030與擴散器4035之間的改良密封以確保前驅物在部件之間維持流體隔離,並且不發生通道之間的洩漏。
混合歧管4040可在第一端4041處與擴散器4035耦合,並且可在第二端4042處與腔室4005耦合。混合歧管4040可在第一端4041處界定入口4043。入口4043可提供從擴散器4035的流體通路,並且入口4043可由等於或大於與第三通道4038穿過擴散器4035的直徑相同的直徑表徵。入口4043可界定穿過混合歧管4040的通道4044的一部分,並且通道4044可由界定通道4044的輪廓的一或多個部分構成。入口4043可係在穿過混合歧管4040的通道4044的流動方向上的第一部分。入口4043可由一長度表徵,該長度可小於在混合歧管4040的流動方向上的長度的一半。入口4043的長度亦可小於混合歧管4040的長度的三分之一,並且在實施例中可小於混合歧管4040的長度的四分之一。入口4043可從擴散器4035接收每種前驅物,並且可允許混合前驅物,這可維持流體隔離,直至遞送至混合歧管4040。
入口4043可延伸到通道4044的第二部分,該第二部分可係或包括漸縮部分4045。漸縮部分4045可從第一直徑延伸到小於第一直徑的第二直徑,該第一直徑等於或類似於入口4043的直徑。在一些實施例中,第二直徑可約或小於第一直徑的一半。在實施例中,漸縮部分4045可由大於或約10%、大於或約20%、大於或約30%、大於或約40%、大於或約50%、大於或約60%、大於或約70%、大於或約80%、大於或約90%、大於或約100%、大於或約150%、大於或約200%、大於或約300%或更大的漸縮百分比表徵。
漸縮部分4045可過渡至通道4044的第三區域,該第三區域可係擴口部分4046。擴口部分4046可從漸縮部分4045延伸到第二端4042處的混合歧管4040的出口。擴口部分4046可從第一直徑延伸到大於第一直徑的第二直徑,該第一直徑等於漸縮部分4045的第二直徑。在一些實施例中,第二直徑可約或大於第一直徑的兩倍。在實施例中,擴口部分4046可由大於或約10%、大於或約20%、大於或約30%、大於或約40%、大於或約50%、大於或約60%、大於或約70%、大於或約80%、大於或約90%、大於或約100%、大於或約150%、大於或約200%、大於或約300%或更大的擴口百分比表徵。
擴口部分4046可為穿過混合歧管4040遞送的前驅物提供穿過第二端4042經由出口4047的出口(egress)。通道4044穿過混合歧管4040的部分可經配置以在將混合之前驅物提供至腔室4005中之前提供遞送至混合歧管的前驅物的適當或徹底混合。與習知技術不同,藉由在遞送至腔室之前執行蝕刻劑或前驅物混合,本系統可提供在繞著腔室及基板分配之前具有均勻性質的蝕刻劑。以此方式,利用本技術執行的製程可具有跨越基板表面的更均勻結果。
處理腔室4005可包括以堆疊佈置的數個部件。腔室堆疊可包括氣盒4050、阻隔板4060、面板4070、離子抑制元件4080及蓋間隔件4090。該等部件可用於穿過腔室分配前驅物或一組前驅物,以向用於處理的基板提供蝕刻劑或其他前驅物的均勻遞送。在實施例中,此等部件可係堆疊板,每個板至少部分界定腔室4005的外部。
氣盒4050可界定腔室入口4052。中心通道4054可穿過氣盒4050界定以將前驅物遞送至腔室4005中。入口4052可與混合歧管4040的出口4047對準。在實施例中,入口4052及/或中心通道4054可由類似直徑表徵。中心通道4054可延伸穿過氣盒4050並且經配置以將一或多種前驅物遞送至由氣盒4050從上方界定的容積4057中。氣盒4050可包括第一表面4053(諸如頂表面)及與第一表面4053相對的第二表面4055,諸如氣盒4050的底表面。在實施例中,頂表面4053可係平坦或實質上平坦的表面。加熱器4048可與頂表面4053耦合。
在實施例中,加熱器4048可經配置以加熱腔室4005,並且可傳導性加熱每個蓋堆疊部件。加熱器4048可係任何種類的加熱器,包括流體加熱器、電氣加熱器、微波加熱器或經配置以將熱傳導性遞送至腔室4005的其他元件。在一些實施例中,加熱器4048可係或包括以繞著氣盒4050的第一表面4053的環形圖案形成的電氣加熱器。加熱器可跨過氣盒4050並圍繞混合歧管4040界定。加熱器可係板加熱器或電阻式元件加熱器,該加熱器可經配置以提供多達、約或大於約2,000 W熱量,並且可經配置以提供大於或約2,500 W、大於或約3,000 W、大於或約3,500 W、大於或約4,000 W、大於或約4,500 W、大於或約5,000 W或更多。
加熱器4048可經配置以產生多達、約或大於約50℃的可變腔室部件溫度,並且在實施例中可經配置以產生大於或約75℃、大於或約100℃、大於或約150℃、大於或約200℃、大於或約250℃、大於或約300℃、或更高的腔室部件溫度。加熱器4048可經配置以將獨立部件(諸如離子抑制元件4080)升高至任何此等溫度,以促進處理操作,諸如退火。在一些處理操作中,基板可朝向離子抑制元件4080升高以用於退火操作,並且加熱器4048可經調節以將加熱器的溫度傳導性升高至上文提及的任何特定溫度,或在任何所述的溫度內或之間的任何溫度範圍內。
氣盒4050的第二表面4055可與阻隔板4060耦合。阻隔板4060可由一直徑表徵,該直徑等於或類似於氣盒4050的直徑。阻隔板4060可界定穿過阻隔板4060的複數個孔4063,僅示出該等孔的樣品,這可允許從容積4057分配前驅物(諸如蝕刻劑),並且可開始穿過腔室4005分配前驅物,用於均勻遞送至基板。儘管僅示出數個孔4063,應理解阻隔板4060可具有穿過該結構界定的任何數量的孔4063。阻隔板4060可由在阻隔板4060的外徑處升高之環形部分4065以及在阻隔板4060的外徑處降低之環形部分4066表徵。升高之環形部分4065可為阻隔板4060提供結構剛性,並且在實施例中可界定容積4057的側面或外徑。阻隔板4060亦可從下方界定容積4057的底部。容積4057可允許在經過阻隔板4060的孔4063之前從氣盒4050的中心通道4054分配前驅物。降低之環形部分4066亦可為阻隔板4060提供結構剛性,並且在實施例中可界定第二容積4058的側面或外徑。阻隔板4060亦可從上方界定容積4058之頂部,而容積4058之底部可由面板4070從下方界定。
面板4070可包括第一表面4072及與第一表面4072相對的第二表面4074。面板4070可在第一表面4072處與阻隔板4060耦合,這可接合阻隔板4060的降低之環形部分4066。面板4070可在第二表面4074的內部處界定延伸至第三容積4075的凸緣4073,第三容積4075至少部分在面板4070內界定或由面板4070界定。例如,面板4070可界定第三容積4075的側面或外徑以及從上方界定容積4075的頂部,而離子抑制元件4080可從下方界定第三容積4075。儘管未在第8圖中示出,面板4070可界定穿過該面板的複數個通道,諸如先前關於腔室2000所描述。
離子抑制元件4080可靠近面板4070的第二表面4074定位,並且可在第二表面4074處與面板4070耦合。離子抑制元件4080可類似於上文描述的離子抑制件2023,並且可經配置以減少離子遷移至容納基板的腔室4005的處理區域中。儘管未在第8圖中示出,離子抑制元件4080可界定穿過如第6A圖中示出的結構的複數個孔。在實施例中,氣盒4050、阻隔板4060、面板4070及離子抑制元件4080可耦合在一起,並且在實施例中可直接耦合在一起。藉由直接耦合部件,由加熱器4048產生的熱可穿過部件傳導以維持特定腔室溫度,可利用部件之間的較少變化維持該特定腔室溫度。離子抑制元件4080亦可接觸蓋間隔件4090,離子抑制元件4080及蓋間隔件4090可一起至少部分界定在處理期間維持基板的電漿處理區域。
轉到 9 ,示出了根據本技術之實施例的入口配接器500的底部部分平面圖。在實施例中,入口配接器5000可類似於入口配接器4030。如所示出,入口配接器可包括繞著入口配接器5000的中心軸同心對準的三個通道。應理解,在其他實施例中,入口配接器5000可包括與所示出者相比更多或更少的通道。入口配接器5000可包括中心通道5005,中心通道5005可從如先前論述的遠端電漿單元流體進入。中心通道5005可完全穿過入口配接器5000延伸。第二通道5010可繞著中心通道5005延伸,並且可為額外或與穿過中心通道5005的前驅物之電漿流出物交替地遞送的第一旁路前驅物提供流體通路。第二通道5010可從沿著入口配接器5000的外部界定的第一埠5012進入。第二通道5010可與中心通道5005同心對準,並且可維持第一旁路前驅物與電漿流出物或穿過中心通道5005流動的不同前驅物流體隔離。
第三通道5015可繞著中心通道5005及第二通道5010延伸,並且可為另外或與穿過中心通道5005的前驅物之電漿流出物及穿過第二通道5010的第一旁路前驅物交替地遞送的第二旁路前驅物提供流體通路。第三通道5015可從沿著入口配接器5000外部界定的第二埠5017進入,第二埠5017可位於入口配接器5000與第一埠5012相對的側面上。第二埠5017以及第三通道5015可位於穿過第一埠5012的水平面下方。第三通道5015可與中心通道5005同心對準,並且可維持第二旁路前驅物與電漿流出物或穿過中心通道5005流動的不同前驅物以及穿過第二通道5010遞送的第一旁路前驅物流體隔離。
在實施例中,第二通道5010及第三通道5015可係至少部分穿過入口配接器5000的長度界定的環形通道。通道亦可係繞著中心通道5005徑向界定的複數個通道。藉由為前驅物提供三個獨立路徑,可利用不同容積及/或流速的前驅物,從而提供對前驅物遞送及蝕刻劑產生的較高控制。可為每種前驅物遞送一或多種載送氣體,並且可在遞送至與入口配接器5000流體耦合的處理腔室中之前精細調節所顯影的蝕刻劑。
本發明的示例實施例的以上描述已經出於說明及描述的目的而呈現。不意欲為窮盡的或將本發明限制於所描述的精確形式,並且鑒於以上教示,眾多修改及變化係可能的。
在以上描述中,出於解釋的目的,已經闡述數個細節來提供對本技術的各個實施例的理解。然而,熟習此項技術者將顯而易見,某些實施例可在不具有此等細節中的一些細節或在具有額外細節的情況下實踐。
在已經描述了若干實施例的情況下,熟習此項技術者將瞭解可使用各種修改、替代構造及等效物而不脫離本發明之精神。另外,尚未描述數個熟知製程及元件以避免不必要地混淆本發明。另外,任何具體實施例的細節可能不總是存在於彼實施例的變化中或可添加到其他實施例。
在提供值範圍的情況下,應當理解,除非上下文另外明確指示,亦具體地揭示在彼範圍的上限與下限之間每個中間值直到下限單位的十分之一。在任何所述值或所述範圍中的中間值與任何其他所述值或彼所述範圍中的中間值之間的每個更小範圍涵蓋在內。可能有所述範圍中任何具體被排除在外的限值,此等較小範圍的上限及下限可獨立地包括在該範圍內或排除於該範圍外,並且任一個限值、沒有一個限值或兩個限值包括在更小範圍中的每個範圍亦在本發明內涵蓋。在所述範圍包括限值中的一個或兩個的情況下,排除任一個或兩個彼等所包括之限值的範圍亦包括在內。
如本文及隨附申請專利範圍中所使用,除非上下文另外明確指明,單數形式「一(a)」、「一(an)」及「the(該)」包括複數引用。因此,例如,提及「一種方法」包括複數個此種方法,並且提及「該層」包括提及一或多層及熟習此項技術者已知的等效物等等。出於清楚及理解的目的,本發明現已經詳細描述。然而,將瞭解,某些改變及修改可在隨附申請專利範圍的範疇內實踐。
本文引用的所有公開案、專利及專利申請案的全部內容出於所有目的以引用方式併入本文中。所有這些均不被承認為先前技術。
100‧‧‧半導體處理系統102‧‧‧遠端電漿源104‧‧‧隔離器106‧‧‧進氣口108‧‧‧輸入口110‧‧‧遠端電漿源(RPS)配接器112‧‧‧混合歧管114‧‧‧氣盒116‧‧‧氣盒加熱器118‧‧‧阻隔器120‧‧‧面板122‧‧‧選擇性模組化元件(SMD)124‧‧‧間隔件126‧‧‧基座128‧‧‧邊緣環130‧‧‧泵送襯墊/通道132‧‧‧蓋板嵌件200‧‧‧方法202‧‧‧方塊204‧‧‧方塊206‧‧‧方塊208‧‧‧方塊300‧‧‧方法302‧‧‧方塊304‧‧‧方塊306‧‧‧方塊308‧‧‧方塊310‧‧‧方塊312‧‧‧方塊314‧‧‧方塊1000‧‧‧處理工具1002‧‧‧前開式晶圓傳送盒(FOUP)1004‧‧‧機器臂1006‧‧‧保持區域1008a‧‧‧基板處理腔室1008b‧‧‧基板處理腔室1008c‧‧‧基板處理腔室1008d‧‧‧基板處理腔室1008e‧‧‧基板處理腔室1008f‧‧‧基板處理腔室1009a‧‧‧串聯部分1009b‧‧‧串聯部分1009c‧‧‧串聯部分1010‧‧‧第二機器臂2000‧‧‧處理腔室部分2001‧‧‧遠端電漿系統(RPS)單元2003‧‧‧冷卻板2005‧‧‧進氣口組件2014‧‧‧上板2015‧‧‧第一電漿區域2016‧‧‧下板2017‧‧‧面板2018‧‧‧容積2019‧‧‧第一流體通道2020‧‧‧絕緣環2021‧‧‧第二流體通道2023‧‧‧離子抑制件2025‧‧‧噴淋頭2033‧‧‧處理區域2040‧‧‧電源供應器2055‧‧‧基板2058‧‧‧氣體供應區域2059‧‧‧孔2065‧‧‧基座3025‧‧‧噴淋頭3065‧‧‧通孔3075‧‧‧孔洞4000‧‧‧處理系統4005‧‧‧處理腔室4010‧‧‧遠端電漿單元4011‧‧‧第一端4012‧‧‧第二端4013‧‧‧通道4014‧‧‧溝槽4015‧‧‧遠端電漿單元配接器4020‧‧‧隔離器4021‧‧‧隔離器通道4023‧‧‧中心孔4024‧‧‧溝槽4025‧‧‧壓力板4026‧‧‧第一端4027‧‧‧第二端4028‧‧‧中心通道4029a‧‧‧第一部分4029b‧‧‧第二部分4030‧‧‧入口配接器4031‧‧‧第二通道4032‧‧‧第一埠4033‧‧‧第三通道4034‧‧‧第二埠4035‧‧‧擴散器4036‧‧‧第一通道4037‧‧‧第二通道4038‧‧‧第三通道4039a,b,c‧‧‧溝槽4040‧‧‧混合歧管4041‧‧‧第一端4042‧‧‧第二端4043‧‧‧入口4044‧‧‧通道4045‧‧‧漸縮部分4046‧‧‧擴口部分4047‧‧‧出口4048‧‧‧加熱器4050‧‧‧氣盒4052‧‧‧入口4053‧‧‧第一表面4054‧‧‧中心通道4055‧‧‧第二表面4057‧‧‧容積4058‧‧‧第二容積4060‧‧‧阻隔板4063‧‧‧孔4065‧‧‧環形部分4070‧‧‧面板4072‧‧‧第一表面4073‧‧‧凸緣4074‧‧‧第二表面4075‧‧‧第三容積4080‧‧‧離子抑制元件4090‧‧‧蓋間隔件5000‧‧‧入口配接器5005‧‧‧中心通道5010‧‧‧第二通道5015‧‧‧第三通道5017‧‧‧第二埠
對所揭示技術的性質和益處的進一步理解可藉由參考本說明書及圖式的剩餘部分來實現。
1 圖示了根據本技術之實施例的半導體處理系統。
2 圖示了根據本技術之實施例的蝕刻方法。
3 圖示了根據本技術之實施例的蝕刻方法。
4A 4B 4C 圖示了根據本技術之實施例的使用鎳塗佈的腔室及陽極化鋁腔室的蝕刻量。
5 圖示了根據本發明之實施例的示例性處理工具的一個實施例的頂部平面圖。
6A 6B 圖示了根據本發明之實施例的示例性處理腔室的橫截面圖。
7 圖示了根據本發明之實施例的示例性噴淋頭配置的示意圖。
8 圖示了根據本技術之實施例的示例性處理系統的示意性橫截面圖。
9 示出了根據本技術之實施例的入口配接器的示意性底部部分平面圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
100‧‧‧半導體處理系統
102‧‧‧遠端電漿源
104‧‧‧隔離器
106‧‧‧進氣口
108‧‧‧輸入口
110‧‧‧遠端電漿源(RPS)配接器
112‧‧‧混合歧管
114‧‧‧氣盒
116‧‧‧氣盒加熱器
118‧‧‧阻隔器
120‧‧‧面板
122‧‧‧選擇性模組化元件(SMD)
124‧‧‧間隔件
126‧‧‧基座
128‧‧‧邊緣環
130‧‧‧泵送襯墊/通道
132‧‧‧蓋板嵌件

Claims (40)

  1. 一種半導體處理系統,該系統包含:一遠端電漿區域;一噴淋頭,其中該噴淋頭至少部分地界定一處理區域,該處理區域與該遠端電漿區域流體耦合;一入口配接器,定位在該遠端電漿區域與該噴淋頭之間,及該入口配接器界定一通道,其中該入口配接器沒有鍍鎳;一混合歧管,定位在該入口配接器與該噴淋頭之間,其中該混合歧管包含鎳;及一基座,延伸進入該處理區域,其中該處理區域進一步由一側壁被界定繞著一外部,並且其中該側壁及噴淋頭鍍有鎳。
  2. 如請求項1所述之系統,其中從該通道到一出口但不包括該通道的該處理區域由鍍有鎳的表面界定,該出口係從該處理區域通向一泵。
  3. 如請求項1所述之系統,進一步包含設置在該基座上的一圓環,其中該圓環鍍有鎳。
  4. 如請求項1所述之系統,進一步包含:一隔離器,定位在該遠端電漿區域與該入口配接器之間。
  5. 如請求項4所述之系統,其中該隔離器沒有鍍鎳。
  6. 如請求項4所述之系統,進一步包含:一第二配接器,定位在該遠端電漿區域與該入口配接器之間,其中該第二配接器沒有鍍鎳。
  7. 如請求項1所述之系統,其中該入口配接器界定來自該遠端電漿區域的一第一流動路徑,及其中該入口配接器界定繞過該遠端電漿區域的一第二流動路徑。
  8. 如請求項7所述之系統,其中該第一流動路徑與該第二流動路徑透過該入口配接器被維持流體隔離。
  9. 如請求項8所述之系統,其中該第一流動路徑與該第二流動路徑遞送至該混合歧管,以將沿著該第一流動路徑與該第二流動路徑流動的流體混合。
  10. 如請求項1所述之系統,進一步包含:一氣盒,定位在該入口配接器與該處理區域之間,其中該氣盒包含鎳。
  11. 如請求項10所述之系統,進一步包含:一阻隔板,定位在該氣盒與該處理區域之間,其中該阻隔板包含鎳。
  12. 一種半導體處理系統,該系統包含: 一遠端電漿區域;一處理腔室,與該遠端電漿區域流體耦接,該處理腔室包含:一噴淋頭,其中該噴淋頭至少部分地界定一處理區域,及一間隔件,界定該處理區域的一外徑,其中該噴淋頭與該間隔件的一內表面包含鎳;一入口配接器,定位在該遠端電漿區域與該處理腔室之間,其中該入口配接器沒有鍍鎳;及一混合歧管,定位在該入口配接器與該處理腔室之間,其中該混合歧管包含鎳。
  13. 如請求項12所述之系統,其中該入口配接器界定來自該遠端電漿區域的一第一流動路徑,及其中該入口配接器界定繞過該遠端電漿區域的一第二流動路徑。
  14. 如請求項13所述之系統,其中該第一流動路徑與該第二流動路徑透過該入口配接器被維持流體隔離。
  15. 如請求項14所述之系統,其中該第一流動路徑與該第二流動路徑與該混合歧管流體耦接,以將沿著該第一流動路徑與該第二流動路徑流動的流體混合。
  16. 如請求項12所述之系統,其中在該混合歧管的上游,沒有部件包括鍍鎳。
  17. 如請求項12所述之系統,其中在該入口配接器的下游的每個部件包含鎳。
  18. 如請求項12所述之系統,其中該處理腔室進一步包含:一基座,延伸進入該處理區域。
  19. 如請求項18所述之系統,進一步包含:一邊緣環,座落繞著該基座的一外部,其中該邊緣環包含鎳。
  20. 一種半導體處理系統,該系統包含:一遠端電漿區域;一噴淋頭,其中該噴淋頭至少部分地界定一處理區域,該處理區域與該遠端電漿區域流體耦接,其中該處理區域藉由一側壁被徑向地界定,及其中該側壁與該噴淋頭被鍍鎳;一入口配接器,定位在該遠端電漿區域與該噴淋頭之間,及該入口配接器界定一通道,其中該入口配接器沒有鍍鎳;及一混合歧管,定位在該入口配接器與該噴淋頭之間,其中該混合歧管包含鎳。
  21. 一種蝕刻方法,該方法包含: 在一腔室的一第一部分中混合電漿流出物與一氣體以形成一第一混合物,其中該第一部分包含鍍鎳材料;在該腔室的一第二部分中使該第一混合物流到一基板,其中該第二部分包含鍍鎳材料,該第一混合物在從該第一部分到該第二部分的該腔室中的一路徑中流動,及該路徑由該腔室的鍍鎳部件界定;使該第一混合物與該基板反應以相對於一第二層選擇性蝕刻一第一層;形成一第二混合物,該第二混合物包含來自該第一混合物與該基板反應的產物。
  22. 如請求項21所述之方法,其中:該第一層係一熱氧化矽層,該第二層係一矽層,並且該第一混合物與該基板反應包含蝕刻小於1埃的該第一層及大於50埃的該第二層。
  23. 如請求項21所述之方法,其中該腔室的該第二部分係在10Torr或更低的一壓力下。
  24. 如請求項21所述之方法,其中該等電漿流出物包含來自使氨及一含氟氣體流過一電漿的流出 物。
  25. 如請求項24所述之方法,其中該含氟氣體包含NF3或HF。
  26. 如請求項21所述之方法,其中該等電漿流出物包含來自使氨、NF3、氬、H2、氦及HF流過一電漿的流出物。
  27. 如請求項21所述之方法,進一步包含:使該等電漿流出物流過該腔室的不包含鍍鎳材料的一第三部分。
  28. 如請求項21所述之方法,進一步包含:使該第二混合物流過該腔室的一第三部分以離開該腔室,其中該腔室的該第三部分包含鍍鎳材料。
  29. 如請求項21所述之方法,進一步包含:使一含氫氣體與一含氟氣體流動通過一電漿以形成該等電漿流出物,及將氟原子或氫原子吸附至該鍍鎳材料上。
  30. 如請求項21所述之方法,其中該鍍鎳材料包含無電鍍鎳材料(electroless nickel plated material)。
  31. 如請求項22所述之方法,其中:該產物包含來自蝕刻氧化矽的蝕刻副產物。
  32. 如請求項28所述之方法,其中該第三部分 至少部分地藉由一泵埠界定。
  33. 如請求項21所述之方法,進一步包含:在混合該電漿流出物與該氣體之前,使該電漿流出物流動通過該腔室的一第三部分,該第三部分不包括鍍鎳材料。
  34. 如請求項33所述之方法,其中該第三部分包含一陶瓷材料。
  35. 如請求項21所述之方法,其中該鍍鎳材料包含有硼鎳或有磷鎳。
  36. 如請求項22所述之方法,其中相對於該第二層而蝕刻該第一層的選擇性大於100。
  37. 一種蝕刻方法,該方法包含:使包含氨及一含氟氣體的一第一氣體流過一電漿以形成電漿流出物;使該等電漿流出物流過一腔室的一第一部分;在該腔室的一第二部分中混合包含氨的一第二氣體與該等電漿流出物以形成一第一混合物;在該腔室的一第三部分中使該第一混合物流到一基板;使該第一混合物與該基板反應以相對於一矽層選擇性蝕刻一氧化矽層;形成一第二混合物,該第二混合物包含來自該第一 混合物與該基板反應的產物;以及使該第二混合物流過該腔室的一第四部分以離開該腔室,其中:該腔室的該第一部分不包含鍍鎳材料,該腔室的該第二部分、該第三部分及該第四部分包含鍍鎳材料,該腔室的該第三部分在10Torr或更低的一壓力下,並且使該第一混合物與該基板反應包含蝕刻小於1埃的該矽層及大於50埃的該氧化矽層。
  38. 如請求項37所述之方法,其中:該第一混合物在從該第二部分到該第四部分的該腔室中的一路徑中流動,並且該路徑由該腔室的鍍鎳部件界定。
  39. 如請求項37所述之方法,其中該鍍鎳材料包含無電鍍鎳材料(electroless nickel plated material)。
  40. 一種蝕刻方法,該方法包含:在一腔室的一第一部分中使電漿流出物與一氣體混合以形成一第一混合物,其中:該第一部分包含鍍鎳材料,及該電漿流出物包含來自使氨、NF3、氬、H2、 氦及HF流過一電漿的流出物;在該腔室的一第二部分中使該第一混合物流至一基板,其中該第二部分包含鍍鎳材料;使該第一混合物與該基板反應,以相對於一第二層選擇性蝕刻一第一層;形成一第二混合物,該第二混合物包含來自使該第一混合物與該基板反應的產物。
TW107127202A 2017-08-07 2018-08-06 電漿蝕刻製程中使用塗佈部件的製程裕度擴充 TWI768093B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/670,919 US10297458B2 (en) 2017-08-07 2017-08-07 Process window widening using coated parts in plasma etch processes
US15/670,919 2017-08-07

Publications (2)

Publication Number Publication Date
TW201913809A TW201913809A (zh) 2019-04-01
TWI768093B true TWI768093B (zh) 2022-06-21

Family

ID=65229893

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107127202A TWI768093B (zh) 2017-08-07 2018-08-06 電漿蝕刻製程中使用塗佈部件的製程裕度擴充

Country Status (6)

Country Link
US (2) US10297458B2 (zh)
JP (1) JP7028956B2 (zh)
KR (2) KR102405728B1 (zh)
CN (1) CN110998818B (zh)
TW (1) TWI768093B (zh)
WO (1) WO2019032338A1 (zh)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
JP6811202B2 (ja) * 2018-04-17 2021-01-13 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP6736720B1 (ja) * 2019-03-29 2020-08-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバマルチステージミキシング装置
US11152194B2 (en) 2019-05-14 2021-10-19 Tokyo Electron Limited Plasma processing apparatuses having a dielectric injector
JP7348964B2 (ja) 2019-06-11 2023-09-21 アプライド マテリアルズ インコーポレイテッド フッ素および金属ハロゲン化物を使用した金属酸化物のエッチング
US11810764B2 (en) * 2020-04-23 2023-11-07 Applied Materials, Inc. Faceplate with edge flow control
TW202212618A (zh) * 2020-09-02 2022-04-01 美商應用材料股份有限公司 控制偶然沉積的噴頭設計
US20230005765A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Semiconductor processing chamber adapter
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source
KR102615765B1 (ko) * 2021-12-17 2023-12-19 세메스 주식회사 플라즈마를 이용한 기판 처리 장치 및 방법
US20240047185A1 (en) * 2022-08-03 2024-02-08 Applied Materials, Inc. Shared rps clean and bypass delivery architecture

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020011214A1 (en) * 1999-04-12 2002-01-31 Mohammad Kamarehi Remote plasma mixer
US20020063323A1 (en) * 1998-12-04 2002-05-30 Trw Inc. Hydrogen getter for integrated microelectronic assembly
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
TW201426855A (zh) * 2012-09-17 2014-07-01 Applied Materials Inc 差別氧化矽蝕刻
US20160111298A1 (en) * 2014-10-21 2016-04-21 Go-jun Kim Etching method using plasma, and method of fabricating semiconductor device including the etching method
US20160136660A1 (en) * 2009-10-09 2016-05-19 Applied Materials, Inc. Multi-gas centrally cooled showerhead design

Family Cites Families (2073)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US3401302A (en) 1965-11-01 1968-09-10 Humphreys Corp Induction plasma generator including cooling means, gas flow means, and operating means therefor
US3537474A (en) 1968-02-19 1970-11-03 Varian Associates Push button vacuum control valve and vacuum system using same
US3756511A (en) 1971-02-02 1973-09-04 Kogyo Kaihatsu Kenyusho Nozzle and torch for plasma jet
US3969077A (en) 1971-12-16 1976-07-13 Varian Associates Alkali metal leak detection method and apparatus
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4190488A (en) 1978-08-21 1980-02-26 International Business Machines Corporation Etching method using noble gas halides
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4361441A (en) 1979-04-17 1982-11-30 Plasma Holdings N.V. Treatment of matter in low temperature plasmas
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (it) 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4361418A (en) 1980-05-06 1982-11-30 Risdon Corporation High vacuum processing system having improved recycle draw-down capability under high humidity ambient atmospheric conditions
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (ja) 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS59126778A (ja) 1983-01-11 1984-07-21 Tokyo Denshi Kagaku Kabushiki プラズマエツチング方法及びその装置
JPS59222922A (ja) 1983-06-01 1984-12-14 Nippon Telegr & Teleph Corp <Ntt> 気相成長装置
DE3324388A1 (de) 1983-07-06 1985-01-17 Kraftwerk Union AG, 4330 Mülheim Verfahren und anlage zur wiederaufwaermung von rauchgasen hinter einer nassen rauchgasentschwefelungsanlage
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4656076A (en) 1985-04-26 1987-04-07 Triquint Semiconductors, Inc. Self-aligned recessed gate process
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4610775A (en) 1985-07-26 1986-09-09 Westinghouse Electric Corp. Method and apparatus for clearing short-circuited, high-voltage cathodes in a sputtering chamber
JPS6245119A (ja) 1985-08-23 1987-02-27 Matsushita Electric Ind Co Ltd ドライエツチング装置
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4668335A (en) 1985-08-30 1987-05-26 Advanced Micro Devices, Inc. Anti-corrosion treatment for patterning of metallic layers
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
KR910006164B1 (ko) 1987-03-18 1991-08-16 가부시키가이샤 도시바 박막형성방법과 그 장치
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786360A (en) 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
EP0286306B1 (en) 1987-04-03 1993-10-06 Fujitsu Limited Method and apparatus for vapor deposition of diamond
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JP2598019B2 (ja) 1987-06-01 1997-04-09 富士通株式会社 感光体の製造方法
US4753898A (en) 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US4857140A (en) 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4867841A (en) 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
US4828649A (en) 1987-07-16 1989-05-09 Texas Instruments Incorporated Method for etching an aluminum film doped with silicon
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4919750A (en) 1987-09-14 1990-04-24 International Business Machines Corporation Etching metal films with complexing chloride plasma
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US4991542A (en) 1987-10-14 1991-02-12 The Furukawa Electric Co., Ltd. Method of forming a thin film by plasma CVD and apapratus for forming a thin film
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JP2804037B2 (ja) 1988-02-05 1998-09-24 株式会社東芝 ドライエッチング方法
JPH01297141A (ja) 1988-05-25 1989-11-30 Canon Inc マイクロ波プラズマ処理装置
US4900856A (en) 1988-05-26 1990-02-13 Ethyl Corporation Preparation of metal halide-amine complexes
JPH029115A (ja) 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
JPH02114525A (ja) 1988-10-24 1990-04-26 Toshiba Corp 有機化合物膜の除去方法及び除去装置
JPH02114530A (ja) 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
KR930004115B1 (ko) 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
EP0376252B1 (en) 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
JP2823276B2 (ja) 1989-03-18 1998-11-11 株式会社東芝 X線マスクの製造方法および薄膜の内部応力制御装置
US4946903A (en) 1989-03-27 1990-08-07 The Research Foundation Of State University Of Ny Oxyfluoropolymers having chemically reactive surface functionality and increased surface energies
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4987856A (en) 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US4993358A (en) 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US4980018A (en) 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
DE69111493T2 (de) 1990-03-12 1996-03-21 Ngk Insulators Ltd Wafer-Heizgeräte für Apparate, zur Halbleiterherstellung Heizanlage mit diesen Heizgeräten und Herstellung von Heizgeräten.
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5069938A (en) 1990-06-07 1991-12-03 Applied Materials, Inc. Method of forming a corrosion-resistant protective coating on aluminum substrate
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5083030A (en) 1990-07-18 1992-01-21 Applied Photonics Research Double-sided radiation-assisted processing apparatus
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
DE69116058T2 (de) 1990-09-27 1996-08-22 At & T Corp Verfahren zur Herstellung integrierter Schaltungen
JPH04142738A (ja) 1990-10-04 1992-05-15 Sony Corp ドライエッチング方法
JPH04355917A (ja) 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
JPH0817171B2 (ja) 1990-12-31 1996-02-21 株式会社半導体エネルギー研究所 プラズマ発生装置およびそれを用いたエッチング方法
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
US5279705A (en) 1990-11-28 1994-01-18 Dainippon Screen Mfg. Co., Ltd. Gaseous process for selectively removing silicon nitride film
US5217559A (en) 1990-12-10 1993-06-08 Texas Instruments Incorporated Apparatus and method for in-situ deep ultraviolet photon-assisted semiconductor wafer processing
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
DE4107006A1 (de) 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
US5330578A (en) 1991-03-12 1994-07-19 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
US5290383A (en) 1991-03-24 1994-03-01 Tokyo Electron Limited Plasma-process system with improved end-point detecting scheme
JPH05508266A (ja) 1991-04-03 1993-11-18 イーストマン・コダック・カンパニー GaAsをドライエッチングするための高耐久性マスク
EP0511448A1 (en) 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JPH0562936A (ja) 1991-09-03 1993-03-12 Mitsubishi Electric Corp プラズマ処理装置およびプラズマクリーニング方法
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US5318668A (en) 1991-10-24 1994-06-07 Matsushita Electric Industrial Co., Ltd. Dry etching method
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5300463A (en) 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
US5274917A (en) 1992-06-08 1994-01-04 The Whitaker Corporation Method of making connector with monolithic multi-contact array
US5880036A (en) 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
KR100293830B1 (ko) 1992-06-22 2001-09-17 리차드 에이치. 로브그렌 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5534072A (en) 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5292370A (en) 1992-08-14 1994-03-08 Martin Marietta Energy Systems, Inc. Coupled microwave ECR and radio-frequency plasma source for plasma processing
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
JP2809018B2 (ja) 1992-11-26 1998-10-08 日本電気株式会社 半導体装置およびその製造方法
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
KR0142150B1 (ko) 1993-04-09 1998-07-15 윌리엄 티. 엘리스 붕소 질화물을 에칭하기 위한 방법
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
EP0628644B1 (en) 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5292682A (en) 1993-07-06 1994-03-08 Eastman Kodak Company Method of making two-phase charge coupled device
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
DE69421465T2 (de) 1993-07-30 2000-02-10 Applied Materials Inc Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5685946A (en) 1993-08-11 1997-11-11 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (sv) 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP3188363B2 (ja) 1994-01-21 2001-07-16 エフエスアイ・インターナショナル・インコーポレーテッド 循環クーラントを用いた温度コントローラ及びそのための温度制御方法
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5454170A (en) 1994-03-02 1995-10-03 Vlsi Technology Inc. Robot to pedestal alignment head
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
JP3501524B2 (ja) 1994-07-01 2004-03-02 東京エレクトロン株式会社 処理装置の真空排気システム
JP3411678B2 (ja) 1994-07-08 2003-06-03 東京エレクトロン株式会社 処理装置
US5592358A (en) 1994-07-18 1997-01-07 Applied Materials, Inc. Electrostatic chuck for magnetic flux processing
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JPH08107101A (ja) 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW344897B (en) 1994-11-30 1998-11-11 At&T Tcorporation A process for forming gate oxides possessing different thicknesses on a semiconductor substrate
CN1053764C (zh) 1994-12-09 2000-06-21 中国科学院微电子中心 束致变蚀方法
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
ATE190678T1 (de) 1994-12-19 2000-04-15 Alcan Int Ltd Reinigung von aluminium werkstücken
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
JPH08279495A (ja) 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5556521A (en) 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
JPH08264510A (ja) 1995-03-27 1996-10-11 Toshiba Corp シリコン窒化膜のエッチング方法およびエッチング装置
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3270852B2 (ja) 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
TW323387B (zh) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JP3599204B2 (ja) 1995-06-08 2004-12-08 アネルバ株式会社 Cvd装置
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US6022446A (en) 1995-08-21 2000-02-08 Shan; Hongching Shallow magnetic fields for generating circulating electrons to enhance plasma processing
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
WO1997009737A1 (en) 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
JPH09106898A (ja) 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US5635086A (en) 1995-10-10 1997-06-03 The Esab Group, Inc. Laser-plasma arc metal cutting apparatus
JPH09106899A (ja) 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
US5814238A (en) 1995-10-12 1998-09-29 Sandia Corporation Method for dry etching of transition metals
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
EP0811083B1 (en) 1995-12-19 2000-05-31 FSI International Electroless deposition of metal films with spray processor
US5883012A (en) 1995-12-21 1999-03-16 Motorola, Inc. Method of etching a trench into a semiconductor substrate
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
DE69623651T2 (de) 1995-12-27 2003-04-24 Lam Res Corp Verfahren zur füllung von gräben auf einer halbleiterscheibe
US5953591A (en) 1995-12-28 1999-09-14 Nippon Sanso Corporation Process for laser detection of gas and contaminants in a wafer transport gas tunnel
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6004884A (en) 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
JPH09260356A (ja) 1996-03-22 1997-10-03 Toshiba Corp ドライエッチング方法
US6065425A (en) 1996-03-25 2000-05-23 Canon Kabushiki Kaisha Plasma process apparatus and plasma process method
CA2250410C (en) 1996-03-25 2003-06-10 S. George Lesinski Attaching an implantable hearing aid microactuator
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
KR100230981B1 (ko) 1996-05-08 1999-11-15 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US5660957A (en) 1996-05-16 1997-08-26 Fujitsu Limited Electron-beam treatment procedure for patterned mask layers
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
JPH1068094A (ja) 1996-06-13 1998-03-10 Samsung Electron Co Ltd 遷移金属薄膜用蝕刻ガス混合物およびこれを用いた遷移金属薄膜の蝕刻方法
US5846373A (en) 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6209480B1 (en) 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5868897A (en) 1996-07-31 1999-02-09 Toyo Technologies, Inc. Device and method for processing a plasma to alter the surface of a substrate using neutrals
JPH1079372A (ja) 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5835334A (en) 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US6308654B1 (en) 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US6019848A (en) 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US5963840A (en) 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5951896A (en) 1996-12-04 1999-09-14 Micro C Technologies, Inc. Rapid thermal processing heater technology and method of use
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US6312554B1 (en) 1996-12-05 2001-11-06 Applied Materials, Inc. Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber
JPH10172792A (ja) 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
DE19651646C2 (de) 1996-12-12 2002-07-11 Deutsch Zentr Luft & Raumfahrt Verfahren zum Einblasen einer ersten und zweiten Brennstoffkomponente und Einblaskopf
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5948702A (en) 1996-12-19 1999-09-07 Texas Instruments Incorporated Selective removal of TixNy
KR100234539B1 (ko) 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US5788825A (en) 1996-12-30 1998-08-04 Samsung Electronics Co., Ltd. Vacuum pumping system for a sputtering device
US5955037A (en) 1996-12-31 1999-09-21 Atmi Ecosys Corporation Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases
DE19700231C2 (de) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
JPH10223608A (ja) 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US6328803B2 (en) 1997-02-21 2001-12-11 Micron Technology, Inc. Method and apparatus for controlling rate of pressure change in a vacuum process chamber
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
KR100295518B1 (ko) 1997-02-25 2001-11-30 아끼구사 나오유끼 질화실리콘층의에칭방법및반도체장치의제조방법
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
TW418461B (en) 1997-03-07 2001-01-11 Tokyo Electron Ltd Plasma etching device
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6017414A (en) 1997-03-31 2000-01-25 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
DE69821044T2 (de) 1997-04-25 2004-06-17 Fuji Photo Film Co., Ltd., Minami-Ashigara Verfahren zur Herstellung eines Aluminiumträgers für lithographische Druckplatten
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6184121B1 (en) 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US6037273A (en) 1997-07-11 2000-03-14 Applied Materials, Inc. Method and apparatus for insitu vapor generation
US5944049A (en) 1997-07-15 1999-08-31 Applied Materials, Inc. Apparatus and method for regulating a pressure in a chamber
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US5982100A (en) 1997-07-28 1999-11-09 Pars, Inc. Inductively coupled plasma reactor
US5814365A (en) 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US6007635A (en) 1997-11-26 1999-12-28 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US6090212A (en) 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6080446A (en) 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US6063712A (en) 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US6136165A (en) 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6083844A (en) 1997-12-22 2000-07-04 Lam Research Corporation Techniques for etching an oxide layer
US6415858B1 (en) 1997-12-31 2002-07-09 Temptronic Corporation Temperature control system for a workpiece chuck
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6074514A (en) 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6186091B1 (en) 1998-02-11 2001-02-13 Silicon Genesis Corporation Shielded platen design for plasma immersion ion implantation
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6892669B2 (en) 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6551939B2 (en) 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
JP2976965B2 (ja) 1998-04-02 1999-11-10 日新電機株式会社 成膜方法及び成膜装置
EP1070346A1 (en) 1998-04-02 2001-01-24 Applied Materials, Inc. Method for etching low k dielectrics
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6174810B1 (en) 1998-04-06 2001-01-16 Motorola, Inc. Copper interconnect structure and method of formation
US6117245A (en) 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6184489B1 (en) 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6077386A (en) 1998-04-23 2000-06-20 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6081414A (en) 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6126753A (en) 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6007785A (en) 1998-05-20 1999-12-28 Academia Sinica Apparatus for efficient ozone generation
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100296137B1 (ko) 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
WO2000005747A2 (en) 1998-06-30 2000-02-03 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
JP2000026975A (ja) 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
KR100265866B1 (ko) 1998-07-11 2000-12-01 황철주 반도체 제조장치
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6162370A (en) 1998-08-28 2000-12-19 Ashland Inc. Composition and method for selectively etching a silicon nitride film
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
JP3725708B2 (ja) 1998-09-29 2005-12-14 株式会社東芝 半導体装置
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
JP3764594B2 (ja) 1998-10-12 2006-04-12 株式会社日立製作所 プラズマ処理方法
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
EP1014434B1 (de) 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
DE19901210A1 (de) 1999-01-14 2000-07-27 Siemens Ag Halbleiterbauelement und Verfahren zu dessen Herstellung
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
JP3330554B2 (ja) 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
KR100322545B1 (ko) 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
TW469534B (en) 1999-02-23 2001-12-21 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6468604B1 (en) 1999-03-17 2002-10-22 Anelva Corporation Method for manufacturing a titanium nitride thin film
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6450116B1 (en) 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6110832A (en) 1999-04-28 2000-08-29 International Business Machines Corporation Method and apparatus for slurry polishing
JP3965258B2 (ja) 1999-04-30 2007-08-29 日本碍子株式会社 半導体製造装置用のセラミックス製ガス供給構造
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
JP3482904B2 (ja) 1999-05-10 2004-01-06 松下電器産業株式会社 プラズマ処理方法及び装置
EP1198610A4 (en) 1999-05-14 2004-04-07 Univ California PLASMA POWER GENERATING DEVICE WITH A LARGE PRESSURE RANGE AT LOW TEMPERATURES
US6129829A (en) 1999-05-14 2000-10-10 Thompson; Donald E. Electrostatic filter for dielectric fluid
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
JP2000331993A (ja) 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
US6464795B1 (en) 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
WO2000074127A1 (fr) 1999-05-26 2000-12-07 Tokyo Electron Limited Dispositif de traitement au plasma
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
JP3320685B2 (ja) 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6161576A (en) 1999-06-23 2000-12-19 Mks Instruments, Inc. Integrated turbo pump and control valve system
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
FR2795555B1 (fr) 1999-06-28 2002-12-13 France Telecom Procede de fabrication d'un dispositif semi-conducteur comprenant un empilement forme alternativement de couches de silicium et de couches de materiau dielectrique
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
US6242360B1 (en) 1999-06-29 2001-06-05 Lam Research Corporation Plasma processing system apparatus, and method for delivering RF power to a plasma processing
US6444083B1 (en) * 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
JP3654068B2 (ja) * 1999-08-11 2005-06-02 株式会社日立製作所 半導体製造方法
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
CN100371491C (zh) 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
EP1077274A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
EP1077480B1 (en) 1999-08-17 2008-11-12 Applied Materials, Inc. Method and apparatus to enhance properties of Si-O-C low K films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
JP4220075B2 (ja) 1999-08-20 2009-02-04 東京エレクトロン株式会社 成膜方法および成膜装置
US6322716B1 (en) 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
JP4285853B2 (ja) 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
EP1083593A1 (en) 1999-09-10 2001-03-14 Interuniversitair Micro-Elektronica Centrum Vzw Etching of silicon nitride by anhydrous halogen gas
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6548414B2 (en) 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
JP3514186B2 (ja) 1999-09-16 2004-03-31 日新電機株式会社 薄膜形成方法及び装置
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6321587B1 (en) 1999-10-15 2001-11-27 Radian International Llc Solid state fluorine sensor system and method
US6423284B1 (en) 1999-10-18 2002-07-23 Advanced Technology Materials, Inc. Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100338768B1 (ko) 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
DE29919142U1 (de) 1999-10-30 2001-03-08 Agrodyn Hochspannungstechnik G Plasmadüse
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6162302A (en) 1999-11-16 2000-12-19 Agilent Technologies Method of cleaning quartz substrates using conductive solutions
US8114245B2 (en) 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
US6599842B2 (en) 1999-11-29 2003-07-29 Applied Materials, Inc. Method for rounding corners and removing damaged outer surfaces of a trench
US6465350B1 (en) 1999-11-29 2002-10-15 Texas Instruments Incorporated Aluminum nitride thin film formation on integrated circuits
US6573194B2 (en) 1999-11-29 2003-06-03 Texas Instruments Incorporated Method of growing surface aluminum nitride on aluminum films with low energy barrier
AU2905901A (en) 1999-11-30 2001-06-12 Regents Of The University Of California, The Method for producing fluorinated diamond-like carbon films
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP2001164371A (ja) 1999-12-07 2001-06-19 Nec Corp プラズマcvd装置およびプラズマcvd成膜法
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3659101B2 (ja) 1999-12-13 2005-06-15 富士ゼロックス株式会社 窒化物半導体素子及びその製造方法
JP4695238B2 (ja) 1999-12-14 2011-06-08 東京エレクトロン株式会社 圧力制御方法
KR100385133B1 (ko) 1999-12-16 2003-05-22 엘지전자 주식회사 교환기의 셀 다중화/역다중화 시스템
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
US6225745B1 (en) 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
US6350697B1 (en) 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
WO2001046492A1 (en) 1999-12-22 2001-06-28 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6534809B2 (en) 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
US6463782B1 (en) 2000-01-13 2002-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Self-centering calibration tool and method of calibrating
US6306246B1 (en) 2000-01-14 2001-10-23 Advanced Micro Devices, Inc. Dual window optical port for improved end point detection
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6447636B1 (en) 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
KR100545034B1 (ko) 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 시료의 처리방법
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
EP1127957A1 (en) 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6958098B2 (en) 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
JP2001319885A (ja) 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6537707B1 (en) 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US7220937B2 (en) 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP3433721B2 (ja) 2000-03-28 2003-08-04 ティーディーケイ株式会社 ドライエッチング方法及び微細加工方法
JP2003529926A (ja) 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
JP2001284340A (ja) 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
JP4056195B2 (ja) 2000-03-30 2008-03-05 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
DE10016340C1 (de) 2000-03-31 2001-12-06 Promos Technologies Inc Verfahren zur Herstellung von flaschenförmigen Tiefgräben zur Verwendung in Halbleitervorrichtungen
US6558564B1 (en) 2000-04-05 2003-05-06 Applied Materials Inc. Plasma energy control by inducing plasma instability
JP2001355074A (ja) 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
KR20010096229A (ko) 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
US6762129B2 (en) 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
JP2001308023A (ja) 2000-04-21 2001-11-02 Tokyo Electron Ltd 熱処理装置及び方法
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6779481B2 (en) 2000-04-27 2004-08-24 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment
JP2001313282A (ja) 2000-04-28 2001-11-09 Nec Corp ドライエッチング方法
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
KR100367662B1 (ko) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
EP1211725A4 (en) 2000-05-10 2003-02-26 Ibiden Co Ltd ELECTROSTATIC CHUCK
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
KR100638917B1 (ko) 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
US6364958B1 (en) 2000-05-24 2002-04-02 Applied Materials, Inc. Plasma assisted semiconductor substrate processing chamber having a plurality of ground path bridges
JP3448737B2 (ja) 2000-05-25 2003-09-22 住友重機械工業株式会社 ウエハーチャック用冷却板及びウエハーチャック
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
TW454429B (en) 2000-05-31 2001-09-11 Nanya Technology Corp Plasma generator
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
KR20010111058A (ko) 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US6509623B2 (en) 2000-06-15 2003-01-21 Newport Fab, Llc Microelectronic air-gap structures and methods of forming the same
US6391753B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming gate conductors
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6531069B1 (en) 2000-06-22 2003-03-11 International Business Machines Corporation Reactive Ion Etching chamber design for flip chip interconnections
US6427623B2 (en) 2000-06-23 2002-08-06 Anelva Corporation Chemical vapor deposition system
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6303418B1 (en) 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
DE10032607B4 (de) 2000-07-07 2004-08-12 Leo Elektronenmikroskopie Gmbh Teilchenstrahlgerät mit einer im Ultrahochvakuum zu betreibenden Teilchenquelle und kaskadenförmige Pumpanordnung für ein solches Teilchenstrahlgerät
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US6736987B1 (en) 2000-07-12 2004-05-18 Techbank Corporation Silicon etching apparatus using XeF2
US6440870B1 (en) 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
TW512645B (en) 2000-07-25 2002-12-01 Ibiden Co Ltd Ceramic substrate for semiconductor manufacture/inspection apparatus, ceramic heater, electrostatic clamp holder, and substrate for wafer prober
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US20020185226A1 (en) 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6459066B1 (en) 2000-08-25 2002-10-01 Board Of Regents, The University Of Texas System Transmission line based inductively coupled plasma source with stable impedance
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
JP2002075972A (ja) 2000-09-04 2002-03-15 Hitachi Ltd 半導体装置の製造方法
JP4484345B2 (ja) 2000-09-11 2010-06-16 東京エレクトロン株式会社 半導体装置及びその製造方法
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US20020038791A1 (en) 2000-10-03 2002-04-04 Tomohiro Okumura Plasma processing method and apparatus
JP4717295B2 (ja) 2000-10-04 2011-07-06 株式会社半導体エネルギー研究所 ドライエッチング装置及びエッチング方法
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
DK200001497A (da) 2000-10-08 2002-04-09 Scanavo As Opbevaringsindretning for en databærer
JP2002115068A (ja) 2000-10-11 2002-04-19 Applied Materials Inc シャワーヘッド、基板処理装置および基板製造方法
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
JP4602532B2 (ja) 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
JP2002151473A (ja) 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
AUPR179500A0 (en) 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
FR2819341B1 (fr) 2001-01-11 2003-06-27 St Microelectronics Sa Procede d'integration d'une cellule dram
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6849854B2 (en) 2001-01-18 2005-02-01 Saintech Pty Ltd. Ion source
US6358827B1 (en) 2001-01-19 2002-03-19 Taiwan Semiconductor Manufacturing Company Method of forming a squared-off, vertically oriented polysilicon spacer gate
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP4657473B2 (ja) 2001-03-06 2011-03-23 東京エレクトロン株式会社 プラズマ処理装置
US6348407B1 (en) 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US6886491B2 (en) 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
KR100423953B1 (ko) 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착장치
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
FR2823032B1 (fr) 2001-04-03 2003-07-11 St Microelectronics Sa Resonateur electromecanique a poutre vibrante
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
JP3707394B2 (ja) 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
AU2002316865B2 (en) 2001-04-20 2006-07-20 Corus Aluminium Walzprodukte Gmbh Method of plating and pretreating aluminium workpieces
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
WO2002089531A1 (en) 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6914009B2 (en) 2001-05-07 2005-07-05 Applied Materials Inc Method of making small transistor lengths
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6974523B2 (en) 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US20020170678A1 (en) 2001-05-18 2002-11-21 Toshio Hayashi Plasma processing apparatus
US20020197823A1 (en) 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
JP2004533123A (ja) 2001-06-14 2004-10-28 マトソン テクノロジー インコーポレーテッド 銅接続用の障壁エンハンスメント工程
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6685803B2 (en) 2001-06-22 2004-02-03 Applied Materials, Inc. Plasma treatment of processing gases
US20030000647A1 (en) 2001-06-29 2003-01-02 Applied Materials, Inc. Substrate processing chamber
US6770166B1 (en) 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US6596599B1 (en) 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
JP3914452B2 (ja) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US7179556B2 (en) 2001-08-10 2007-02-20 Denso Corporation Fuel cell system
KR20040018558A (ko) 2001-08-13 2004-03-03 가부시키 가이샤 에바라 세이사꾸쇼 반도체장치와 그 제조방법 및 도금액
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
WO2003018867A1 (en) 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US7199328B2 (en) 2001-08-29 2007-04-03 Tokyo Electron Limited Apparatus and method for plasma processing
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
KR100441297B1 (ko) 2001-09-14 2004-07-23 주성엔지니어링(주) 리모트 플라즈마를 이용하는 ccp형 pecvd장치
US20030054608A1 (en) 2001-09-17 2003-03-20 Vanguard International Semiconductor Corporation Method for forming shallow trench isolation in semiconductor device
US6555467B2 (en) 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
US6462372B1 (en) 2001-10-09 2002-10-08 Silicon-Based Technology Corp. Scaled stack-gate flash memory device
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
AU2002301252B2 (en) 2001-10-12 2007-12-20 Bayer Aktiengesellschaft Photovoltaic modules with a thermoplastic hot-melt adhesive layer and a process for their production
US6855906B2 (en) 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
KR100433091B1 (ko) 2001-10-23 2004-05-28 주식회사 하이닉스반도체 반도체소자의 도전배선 형성방법
JP3759895B2 (ja) 2001-10-24 2006-03-29 松下電器産業株式会社 エッチング方法
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20080102203A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20030087488A1 (en) 2001-11-07 2003-05-08 Tokyo Electron Limited Inductively coupled plasma source for improved process uniformity
JP4040284B2 (ja) 2001-11-08 2008-01-30 住友大阪セメント株式会社 プラズマ発生用電極内蔵型サセプタ及びその製造方法
JP2003158080A (ja) 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US7017514B1 (en) 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP4392852B2 (ja) 2001-12-07 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置に用いられる排気リング機構及びプラズマ処理装置
AU2002354103A1 (en) 2001-12-07 2003-06-17 Tokyo Electron Limited Nitriding method for insulation film, semiconductor device and production method for semiconductor device, substrate treating device and substrate treating method
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
AU2002353145A1 (en) 2001-12-13 2003-06-30 Applied Materials, Inc. Self-aligned contact etch with high sensitivity to nitride shoulder
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
WO2003054912A1 (en) 2001-12-20 2003-07-03 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
JP2003197615A (ja) 2001-12-26 2003-07-11 Tokyo Electron Ltd プラズマ処理装置およびそのクリーニング方法
KR100442167B1 (ko) 2001-12-26 2004-07-30 주성엔지니어링(주) 자연산화막 제거방법
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
KR100484258B1 (ko) 2001-12-27 2005-04-22 주식회사 하이닉스반도체 반도체 소자 제조 방법
US6828241B2 (en) 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
TWI239794B (en) 2002-01-30 2005-09-11 Alps Electric Co Ltd Plasma processing apparatus and method
US7226504B2 (en) 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7048814B2 (en) 2002-02-08 2006-05-23 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US7033447B2 (en) 2002-02-08 2006-04-25 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US6821348B2 (en) 2002-02-14 2004-11-23 3M Innovative Properties Company In-line deposition processes for circuit fabrication
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP4288036B2 (ja) * 2002-02-20 2009-07-01 東京エレクトロン株式会社 ガスシャワーヘッド、成膜装置及び成膜方法
US6656848B1 (en) 2002-02-22 2003-12-02 Scientific Systems Research Limited Plasma chamber conditioning
JP3921234B2 (ja) 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 表面処理装置及びその製造方法
US6677167B2 (en) 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US20060252265A1 (en) 2002-03-06 2006-11-09 Guangxiang Jin Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US7252011B2 (en) 2002-03-11 2007-08-07 Mks Instruments, Inc. Surface area deposition trap
JP3813562B2 (ja) 2002-03-15 2006-08-23 富士通株式会社 半導体装置及びその製造方法
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US20040003828A1 (en) 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
JP4053326B2 (ja) 2002-03-27 2008-02-27 東芝松下ディスプレイテクノロジー株式会社 薄膜トランジスタの製造方法
US6883733B1 (en) 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
JP4330315B2 (ja) 2002-03-29 2009-09-16 東京エレクトロン株式会社 プラズマ処理装置
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US6818562B2 (en) 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP3773189B2 (ja) 2002-04-24 2006-05-10 独立行政法人科学技術振興機構 窓型プローブ、プラズマ監視装置、及び、プラズマ処理装置
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US6794889B2 (en) 2002-04-26 2004-09-21 Agilent Technologies, Inc. Unified apparatus and method to assure probe card-to-wafer parallelism in semiconductor automatic wafer test, probe card measurement systems, and probe card manufacturing
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
JP2003324072A (ja) 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
TW538497B (en) 2002-05-16 2003-06-21 Nanya Technology Corp Method to form a bottle-shaped trench
US6825051B2 (en) 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US8263664B2 (en) * 2002-05-19 2012-09-11 University Of Utah Research Foundation pH-sensitive polymeric micelles for drug delivery
JP2003338491A (ja) 2002-05-21 2003-11-28 Mitsubishi Electric Corp プラズマ処理装置および半導体装置の製造方法
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
TW535991U (en) 2002-05-24 2003-06-01 Winbond Electronics Corp Barrier device
US6673200B1 (en) 2002-05-30 2004-01-06 Lsi Logic Corporation Method of reducing process plasma damage using optical spectroscopy
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100434110B1 (ko) 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
US20030230385A1 (en) 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
EP1536462A4 (en) 2002-06-14 2010-04-07 Sekisui Chemical Co Ltd METHOD AND DEVICE FOR PRODUCING AN OXIDE FILM
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US7686918B2 (en) 2002-06-21 2010-03-30 Tokyo Electron Limited Magnetron plasma processing apparatus
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
DE10229037A1 (de) 2002-06-28 2004-01-29 Robert Bosch Gmbh Vorrichtung und Verfahren zur Erzeugung von Chlortrifluorid und Anlage zur Ätzung von Halbleitersubstraten mit dieser Vorrichtung
WO2004006303A2 (en) 2002-07-02 2004-01-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US6826451B2 (en) 2002-07-29 2004-11-30 Asml Holding N.V. Lithography tool having a vacuum reticle library coupled to a vacuum chamber
US6818561B1 (en) 2002-07-30 2004-11-16 Advanced Micro Devices, Inc. Control methodology using optical emission spectroscopy derived data, system for performing same
US8679307B2 (en) 2002-08-02 2014-03-25 E.A. Fischione Instruments, Inc. Method and apparatus for preparing specimens for microscopy
US6921555B2 (en) 2002-08-06 2005-07-26 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20060046412A1 (en) 2002-08-06 2006-03-02 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20040058293A1 (en) 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US20060040055A1 (en) 2002-08-06 2006-02-23 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
JP2005536042A (ja) 2002-08-08 2005-11-24 トリコン テクノロジーズ リミティド シャワーヘッドの改良
JP3861036B2 (ja) 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
US7541270B2 (en) 2002-08-13 2009-06-02 Micron Technology, Inc. Methods for forming openings in doped silicon dioxide
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US7192486B2 (en) 2002-08-15 2007-03-20 Applied Materials, Inc. Clog-resistant gas delivery system
TWI229242B (en) 2002-08-23 2005-03-11 Asml Netherlands Bv Lithographic projection apparatus and particle barrier for use in said apparatus
US6781173B2 (en) 2002-08-29 2004-08-24 Micron Technology, Inc. MRAM sense layer area control
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
JP3991315B2 (ja) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
JP3832409B2 (ja) 2002-09-18 2006-10-11 住友電気工業株式会社 ウエハー保持体及び半導体製造装置
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4260450B2 (ja) 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20070051471A1 (en) 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4606713B2 (ja) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
TW587139B (en) 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6853043B2 (en) 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
JP2004165317A (ja) 2002-11-12 2004-06-10 Renesas Technology Corp 半導体装置およびその製造方法
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR100862658B1 (ko) 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US6861332B2 (en) 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
JP2004179426A (ja) 2002-11-27 2004-06-24 Tokyo Electron Ltd 基板処理装置のクリーニング方法
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
TW561068B (en) 2002-11-29 2003-11-11 Au Optronics Corp Nozzle head with excellent corrosion resistance for dry etching process and anti-corrosion method thereof
US7347901B2 (en) 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7396773B1 (en) 2002-12-06 2008-07-08 Cypress Semiconductor Company Method for cleaning a gate stack
US20040118519A1 (en) 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
DE10260352A1 (de) 2002-12-20 2004-07-15 Infineon Technologies Ag Verfahren zum Herstellen einer Kondensatoranordnung und Kondensatoranordnung
US20040118344A1 (en) 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
KR100964398B1 (ko) 2003-01-03 2010-06-17 삼성전자주식회사 유도결합형 안테나 및 이를 채용한 플라즈마 처리장치
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7316761B2 (en) 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US7078351B2 (en) 2003-02-10 2006-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist intensive patterning and processing
US6982175B2 (en) 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
CN101457338B (zh) 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
US20060137613A1 (en) 2004-01-27 2006-06-29 Shigeru Kasai Plasma generating apparatus, plasma generating method and remote plasma processing apparatus
US20040195208A1 (en) 2003-02-15 2004-10-07 Pavel Elizabeth G. Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
US6969619B1 (en) 2003-02-18 2005-11-29 Novellus Systems, Inc. Full spectrum endpoint detection
EP1596427A4 (en) 2003-02-19 2009-06-10 Panasonic Corp PROCESS FOR INTRODUCING CONTAMINATION
US20040163590A1 (en) 2003-02-24 2004-08-26 Applied Materials, Inc. In-situ health check of liquid injection vaporizer
US7212078B2 (en) 2003-02-25 2007-05-01 Tokyo Electron Limited Method and assembly for providing impedance matching network and network assembly
US20040163601A1 (en) 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
DE10308870B4 (de) 2003-02-28 2006-07-27 Austriamicrosystems Ag Bipolartransistor mit verbessertem Basis-Emitter-Übergang und Verfahren zur Herstellung
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
KR100752800B1 (ko) 2003-03-12 2007-08-29 동경 엘렉트론 주식회사 반도체처리용의 기판유지구조 및 플라즈마 처리장치
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
JP2004296467A (ja) 2003-03-25 2004-10-21 Hitachi Kokusai Electric Inc 基板処理装置
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US6844929B2 (en) 2003-04-09 2005-01-18 Phase Shift Technology Apparatus and method for holding and transporting thin opaque plates
JP4272654B2 (ja) 2003-04-11 2009-06-03 Hoya株式会社 クロム系薄膜のエッチング方法及びフォトマスクの製造方法
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US6872909B2 (en) 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
TWI227565B (en) 2003-04-16 2005-02-01 Au Optronics Corp Low temperature poly-Si thin film transistor and method of manufacturing the same
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
JP5404984B2 (ja) 2003-04-24 2014-02-05 東京エレクトロン株式会社 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US7008877B2 (en) 2003-05-05 2006-03-07 Unaxis Usa Inc. Etching of chromium layers on photomasks utilizing high density plasma and low frequency RF bias
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
DE10320472A1 (de) 2003-05-08 2004-12-02 Kolektor D.O.O. Plasmabehandlung zur Reinigung von Kupfer oder Nickel
US6713835B1 (en) 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US7045020B2 (en) 2003-05-22 2006-05-16 Applied Materials, Inc. Cleaning a component of a process chamber
US20040237897A1 (en) 2003-05-27 2004-12-02 Hiroji Hanawa High-Frequency electrostatically shielded toroidal plasma and radical source
DE112004000057B4 (de) 2003-05-27 2008-09-25 Matsushita Electric Works, Ltd., Kadoma Plasmabehandlungsapparat und Plasmabehandlungsverfahren
JP4108633B2 (ja) 2003-06-20 2008-06-25 シャープ株式会社 薄膜トランジスタおよびその製造方法ならびに電子デバイス
US7067432B2 (en) 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
KR100853388B1 (ko) 2003-06-27 2008-08-21 도쿄엘렉트론가부시키가이샤 클리닝 방법 및 기판 처리 방법
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
US7368392B2 (en) 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US6995073B2 (en) 2003-07-16 2006-02-07 Intel Corporation Air gap integration
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP4239750B2 (ja) 2003-08-13 2009-03-18 セイコーエプソン株式会社 マイクロレンズ及びマイクロレンズの製造方法、光学装置、光伝送装置、レーザプリンタ用ヘッド、並びにレーザプリンタ
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7182816B2 (en) 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US7361865B2 (en) 2003-08-27 2008-04-22 Kyocera Corporation Heater for heating a wafer and method for fabricating the same
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
CN100495655C (zh) 2003-09-03 2009-06-03 东京毅力科创株式会社 气体处理装置和散热方法
US7282244B2 (en) 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
KR100518594B1 (ko) 2003-09-09 2005-10-04 삼성전자주식회사 로컬 sonos형 비휘발성 메모리 소자 및 그 제조방법
US7030034B2 (en) 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
JP2005101141A (ja) 2003-09-24 2005-04-14 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7071532B2 (en) 2003-09-30 2006-07-04 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring
KR20030083663A (ko) 2003-10-04 2003-10-30 삼영플랜트주식회사 건설폐기물로부터 시멘트 페이스트 및 모르타르가 제거된재생골재 및 모래를 생산하는 방법 및 장치
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US20050087517A1 (en) 2003-10-09 2005-04-28 Andrew Ott Adhesion between carbon doped oxide and etch stop layers
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7125792B2 (en) 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
JP2005129666A (ja) 2003-10-22 2005-05-19 Canon Inc 処理方法及び装置
JP4306403B2 (ja) 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
JP2005129688A (ja) 2003-10-23 2005-05-19 Hitachi Ltd 半導体装置の製造方法
US7053994B2 (en) 2003-10-28 2006-05-30 Lam Research Corporation Method and apparatus for etch endpoint detection
KR100561848B1 (ko) 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US7461614B2 (en) 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20050103267A1 (en) 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
JP4256763B2 (ja) 2003-11-19 2009-04-22 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP4393844B2 (ja) 2003-11-19 2010-01-06 東京エレクトロン株式会社 プラズマ成膜装置及びプラズマ成膜方法
US20050145341A1 (en) 2003-11-19 2005-07-07 Masaki Suzuki Plasma processing apparatus
KR100558925B1 (ko) 2003-11-24 2006-03-10 세메스 주식회사 웨이퍼 에지 식각 장치
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050112876A1 (en) 2003-11-26 2005-05-26 Chih-Ta Wu Method to form a robust TiCI4 based CVD TiN film
US7431966B2 (en) 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
KR100546401B1 (ko) 2003-12-17 2006-01-26 삼성전자주식회사 자기정렬된 전하트랩층을 포함하는 반도체 메모리 소자 및그 제조방법
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US6852584B1 (en) 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
US7361605B2 (en) 2004-01-20 2008-04-22 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7012027B2 (en) 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
WO2005076336A1 (ja) 2004-02-09 2005-08-18 Tadahiro Ohmi 半導体装置の製造方法および絶縁膜のエッチング方法
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
US7015415B2 (en) 2004-02-18 2006-03-21 Dry Plasma Systems, Inc. Higher power density downstream plasma
JP4707959B2 (ja) 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
CN1669796B (zh) 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
JP4879159B2 (ja) 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US8037896B2 (en) 2004-03-09 2011-10-18 Mks Instruments, Inc. Pressure regulation in remote zones
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US20060081337A1 (en) 2004-03-12 2006-04-20 Shinji Himori Capacitive coupling plasma processing apparatus
US7682985B2 (en) 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
JP4761723B2 (ja) 2004-04-12 2011-08-31 日本碍子株式会社 基板加熱装置
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
TWI249774B (en) 2004-04-23 2006-02-21 Nanya Technology Corp Forming method of self-aligned contact for semiconductor device
JP3998003B2 (ja) 2004-04-23 2007-10-24 ソニー株式会社 プラズマエッチング法
US20050238807A1 (en) 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050241579A1 (en) 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7449220B2 (en) 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US20050266691A1 (en) 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
US7691686B2 (en) 2004-05-21 2010-04-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
KR100624566B1 (ko) 2004-05-31 2006-09-19 주식회사 하이닉스반도체 커패시터 상부에 유동성 절연막을 갖는 반도체소자 및 그제조 방법
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20050274324A1 (en) 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US20050274396A1 (en) 2004-06-09 2005-12-15 Hong Shih Methods for wet cleaning quartz surfaces of components for plasma processing chambers
US7226852B1 (en) 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
US7430496B2 (en) 2004-06-16 2008-09-30 Tokyo Electron Limited Method and apparatus for using a pressure control system to monitor a plasma processing system
US7253107B2 (en) 2004-06-17 2007-08-07 Asm International N.V. Pressure control system
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US20050284573A1 (en) 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US7220687B2 (en) 2004-06-25 2007-05-22 Applied Materials, Inc. Method to improve water-barrier performance by changing film surface morphology
US20060005856A1 (en) 2004-06-29 2006-01-12 Applied Materials, Inc. Reduction of reactive gas attack on substrate heater
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7097779B2 (en) 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
WO2006005067A2 (en) 2004-07-07 2006-01-12 General Electric Company Protective coating on a substrate and method of making thereof
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
US7845309B2 (en) 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
KR100614648B1 (ko) 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
KR100584485B1 (ko) 2004-07-20 2006-05-29 동부일렉트로닉스 주식회사 반도체 소자의 금속 부식 방지 방법
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US20060016783A1 (en) 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
JP4492947B2 (ja) 2004-07-23 2010-06-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
JP4579611B2 (ja) 2004-07-26 2010-11-10 株式会社日立ハイテクノロジーズ ドライエッチング方法
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7806077B2 (en) 2004-07-30 2010-10-05 Amarante Technologies, Inc. Plasma nozzle array for providing uniform scalable microwave plasma generation
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
WO2006020424A2 (en) 2004-08-02 2006-02-23 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US20060024954A1 (en) 2004-08-02 2006-02-02 Zhen-Cheng Wu Copper damascene barrier and capping layer
JP4718141B2 (ja) 2004-08-06 2011-07-06 東京エレクトロン株式会社 薄膜形成方法及び薄膜形成装置
US20060032833A1 (en) 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US7247570B2 (en) 2004-08-19 2007-07-24 Micron Technology, Inc. Silicon pillars for vertical transistors
US20060043066A1 (en) 2004-08-26 2006-03-02 Kamp Thomas A Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
US20060042752A1 (en) 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
JP5115798B2 (ja) 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
JP2006108629A (ja) 2004-09-10 2006-04-20 Toshiba Corp 半導体装置の製造方法
US20060292846A1 (en) 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
US7268084B2 (en) 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate
JP4467453B2 (ja) 2004-09-30 2010-05-26 日本碍子株式会社 セラミックス部材及びその製造方法
US7138767B2 (en) 2004-09-30 2006-11-21 Tokyo Electron Limited Surface wave plasma processing system and method of using
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7053003B2 (en) 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
JP2006128485A (ja) 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060097397A1 (en) 2004-11-10 2006-05-11 Russell Stephen W Method for forming a dual layer, low resistance metallization during the formation of a semiconductor device
US7618515B2 (en) 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
EP1662546A1 (en) 2004-11-25 2006-05-31 The European Community, represented by the European Commission Inductively coupled plasma processing apparatus
US7722737B2 (en) 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7052553B1 (en) 2004-12-01 2006-05-30 Lam Research Corporation Wet cleaning of electrostatic chucks
US7256121B2 (en) 2004-12-02 2007-08-14 Texas Instruments Incorporated Contact resistance reduction by new barrier stack process
US20060118240A1 (en) 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
FR2878913B1 (fr) 2004-12-03 2007-01-19 Cit Alcatel Controle des pressions partielles de gaz pour optimisation de procede
JP2006193822A (ja) 2004-12-16 2006-07-27 Sharp Corp めっき装置、めっき方法、半導体装置、及び半導体装置の製造方法
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP2006179693A (ja) 2004-12-22 2006-07-06 Shin Etsu Chem Co Ltd ヒータ付き静電チャック
JP4191137B2 (ja) 2004-12-24 2008-12-03 東京エレクトロン株式会社 基板処理装置のクリーニング方法
US7365016B2 (en) 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
KR100653722B1 (ko) 2005-01-05 2006-12-05 삼성전자주식회사 저유전막을 갖는 반도체소자의 제조방법
US7465953B1 (en) 2005-01-07 2008-12-16 Board Of Regents, The University Of Texas System Positioning of nanoparticles and fabrication of single election devices
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
KR100610019B1 (ko) 2005-01-11 2006-08-08 삼성전자주식회사 플라즈마 분배장치 및 이를 구비하는 건식 스트리핑 장치
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
JP4601439B2 (ja) 2005-02-01 2010-12-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
GB0502149D0 (en) 2005-02-02 2005-03-09 Boc Group Inc Method of operating a pumping system
US7341943B2 (en) 2005-02-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Post etch copper cleaning using dry plasma
US20060183270A1 (en) 2005-02-14 2006-08-17 Tessera, Inc. Tools and methods for forming conductive bumps on microelectronic elements
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US7344912B1 (en) 2005-03-01 2008-03-18 Spansion Llc Method for patterning electrically conducting poly(phenyl acetylene) and poly(diphenyl acetylene)
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
JP4518986B2 (ja) 2005-03-17 2010-08-04 東京エレクトロン株式会社 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
WO2006102180A2 (en) 2005-03-18 2006-09-28 Applied Materials, Inc. Contact metallization methods and processes
US20060210723A1 (en) 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
KR100610465B1 (ko) 2005-03-25 2006-08-08 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US20060215347A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Processing apparatus and recording medium
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
JP4860167B2 (ja) 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US7789962B2 (en) 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
US20060228889A1 (en) 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
JP2006303309A (ja) 2005-04-22 2006-11-02 Hitachi High-Technologies Corp プラズマ処理装置
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US7431856B2 (en) 2005-05-18 2008-10-07 National Research Council Of Canada Nano-tip fabrication by spatially controlled etching
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US20060266288A1 (en) 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
JP4773142B2 (ja) * 2005-06-14 2011-09-14 芝浦メカトロニクス株式会社 ステージ及びそれを備えた半導体処理装置
JP4853857B2 (ja) 2005-06-15 2012-01-11 東京エレクトロン株式会社 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
KR100676203B1 (ko) 2005-06-21 2007-01-30 삼성전자주식회사 반도체 설비용 정전 척의 냉각 장치
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
CN101010448B (zh) 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
US20070012305A1 (en) * 2005-07-18 2007-01-18 Williams Russell K Toy Water Rocket Launcher
TW200721363A (en) 2005-07-25 2007-06-01 Sumitomo Electric Industries Wafer holder, heater unit having the wafer holder, and wafer prober having the heater unit
JP4554461B2 (ja) 2005-07-26 2010-09-29 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
US7857947B2 (en) 2005-07-27 2010-12-28 Applied Materials, Inc. Unique passivation technique for a CVD blocker plate to prevent particle formation
US8366829B2 (en) 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
TWI283890B (en) * 2005-08-08 2007-07-11 Chien Hui Chuan CMOS compatible piezo-inkjet head
JP5213150B2 (ja) 2005-08-12 2013-06-19 国立大学法人東北大学 プラズマ処理装置及びプラズマ処理装置を用いた製品の製造方法
US8709162B2 (en) 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US7833381B2 (en) 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
DE102006038885B4 (de) 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht
WO2007028596A1 (en) 2005-09-06 2007-03-15 Carl Zeiss Smt Ag Charged particle inspection method and charged particle system
US20070056925A1 (en) 2005-09-09 2007-03-15 Lam Research Corporation Selective etch of films with high dielectric constant with H2 addition
WO2007035880A2 (en) 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US20070066084A1 (en) 2005-09-21 2007-03-22 Cory Wajda Method and system for forming a layer with controllable spstial variation
US7718030B2 (en) 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
JP4823628B2 (ja) 2005-09-26 2011-11-24 東京エレクトロン株式会社 基板処理方法および記録媒体
DE102005047081B4 (de) 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
US8102123B2 (en) 2005-10-04 2012-01-24 Topanga Technologies, Inc. External resonator electrode-less plasma lamp and method of exciting with radio-frequency energy
US7438534B2 (en) 2005-10-07 2008-10-21 Edwards Vacuum, Inc. Wide range pressure control using turbo pump
US8772214B2 (en) 2005-10-14 2014-07-08 Air Products And Chemicals, Inc. Aqueous cleaning composition for removing residues and method using same
KR100703014B1 (ko) 2005-10-26 2007-04-06 삼성전자주식회사 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
EP1780779A3 (en) 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
JP4918778B2 (ja) 2005-11-16 2012-04-18 株式会社日立製作所 半導体集積回路装置の製造方法
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US7862683B2 (en) 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
KR100663668B1 (ko) 2005-12-07 2007-01-09 주식회사 뉴파워 프라즈마 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리장치
US7662723B2 (en) 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
JP4344949B2 (ja) 2005-12-27 2009-10-14 セイコーエプソン株式会社 シャワーヘッド、シャワーヘッドを含む成膜装置、ならびに強誘電体膜の製造方法
US7449538B2 (en) 2005-12-30 2008-11-11 Hynix Semiconductor Inc. Hard mask composition and method for manufacturing semiconductor device
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100712727B1 (ko) 2006-01-26 2007-05-04 주식회사 아토 절연체를 이용한 샤워헤드
US20070169703A1 (en) 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US8173228B2 (en) 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR100785164B1 (ko) 2006-02-04 2007-12-11 위순임 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템
KR100678696B1 (ko) 2006-02-08 2007-02-06 주식회사 뉴파워 프라즈마 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를구비한 자기 강화된 플라즈마 소오스
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
CN101378850A (zh) 2006-02-21 2009-03-04 应用材料股份有限公司 加强用于介电膜层的远程等离子体源清洁
US20070207275A1 (en) 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
US7713430B2 (en) 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
AU2007227602A1 (en) 2006-03-16 2007-09-27 Novartis Ag Heterocyclic organic compounds for the treatment of in particular melanoma
US7381651B2 (en) 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
US7977245B2 (en) 2006-03-22 2011-07-12 Applied Materials, Inc. Methods for etching a dielectric barrier layer with high selectivity
US7628574B2 (en) 2006-03-28 2009-12-08 Arcus Technology, Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US7743731B2 (en) 2006-03-30 2010-06-29 Tokyo Electron Limited Reduced contaminant gas injection system and method of using
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7906032B2 (en) 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
JP5042517B2 (ja) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100539080C (zh) 2006-04-12 2009-09-09 中芯国际集成电路制造(上海)有限公司 通过自对准形成多晶硅浮栅结构的方法
US20070243714A1 (en) 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
JP2010503977A (ja) 2006-04-26 2010-02-04 アドバンスト テクノロジー マテリアルズ,インコーポレイテッド 半導体処理システムの洗浄方法
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US20070254169A1 (en) 2006-04-28 2007-11-01 Kamins Theodore I Structures including organic self-assembled monolayers and methods of making the structures
US7297564B1 (en) 2006-05-02 2007-11-20 Sharp Laboratories Of America, Inc. Fabrication of vertical sidewalls on (110) silicon substrates for use in Si/SiGe photodetectors
US7601607B2 (en) 2006-05-15 2009-10-13 Chartered Semiconductor Manufacturing, Ltd. Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects
JP5578389B2 (ja) 2006-05-16 2014-08-27 Nltテクノロジー株式会社 積層膜パターン形成方法及びゲート電極形成方法
US20070266946A1 (en) 2006-05-22 2007-11-22 Byung-Chul Choi Semiconductor device manufacturing apparatus and method of using the same
JP5119609B2 (ja) 2006-05-25 2013-01-16 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体、並びに半導体装置
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
JP5069427B2 (ja) 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7932181B2 (en) 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US20070296967A1 (en) 2006-06-27 2007-12-27 Bhupendra Kumra Gupta Analysis of component for presence, composition and/or thickness of coating
US8114781B2 (en) 2006-06-29 2012-02-14 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7618889B2 (en) 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
GB0615343D0 (en) 2006-08-02 2006-09-13 Point 35 Microstructures Ltd Improved etch process
GB0616131D0 (en) 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US20080045030A1 (en) 2006-08-15 2008-02-21 Shigeru Tahara Substrate processing method, substrate processing system and storage medium
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
KR100761757B1 (ko) 2006-08-17 2007-09-28 삼성전자주식회사 막 형성 방법
KR100818708B1 (ko) 2006-08-18 2008-04-01 주식회사 하이닉스반도체 표면 세정을 포함하는 반도체소자 제조방법
US20080063810A1 (en) 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
US8110787B1 (en) 2006-08-23 2012-02-07 ON Semiconductor Trading, Ltd Image sensor with a reflective waveguide
US7575007B2 (en) 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US20080063798A1 (en) 2006-08-30 2008-03-13 Kher Shreyas S Precursors and hardware for cvd and ald
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7452766B2 (en) 2006-08-31 2008-11-18 Micron Technology, Inc. Finned memory cells and the fabrication thereof
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US20080075668A1 (en) 2006-09-27 2008-03-27 Goldstein Alan H Security Device Using Reversibly Self-Assembling Systems
US7476291B2 (en) 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
CN101153396B (zh) 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
JP2008103645A (ja) 2006-10-20 2008-05-01 Toshiba Corp 半導体装置の製造方法
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US20080099147A1 (en) 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
JP2008109043A (ja) 2006-10-27 2008-05-08 Oki Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
US8002946B2 (en) 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080102640A1 (en) 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7880232B2 (en) 2006-11-01 2011-02-01 Micron Technology, Inc. Processes and apparatus having a semiconductor fin
US7725974B2 (en) 2006-11-02 2010-06-01 Hughes Randall L Shoe and foot cleaning and disinfecting system
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
KR20090094368A (ko) 2006-12-11 2009-09-04 어플라이드 머티어리얼스, 인코포레이티드 건식 포토레지스트 스트립핑 프로세스 및 장치
TWM318795U (en) 2006-12-18 2007-09-11 Lighthouse Technology Co Ltd Package structure
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
US8043430B2 (en) 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US7922863B2 (en) 2006-12-22 2011-04-12 Applied Materials, Inc. Apparatus for integrated gas and radiation delivery
JP5229711B2 (ja) 2006-12-25 2013-07-03 国立大学法人名古屋大学 パターン形成方法、および半導体装置の製造方法
US20080156631A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
JP2008163430A (ja) 2006-12-28 2008-07-17 Jtekt Corp 高耐食性部材およびその製造方法
US20080157225A1 (en) 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
KR20080063988A (ko) 2007-01-03 2008-07-08 삼성전자주식회사 중성빔을 이용한 식각장치
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
JP5168907B2 (ja) 2007-01-15 2013-03-27 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP4421618B2 (ja) 2007-01-17 2010-02-24 東京エレクトロン株式会社 フィン型電界効果トランジスタの製造方法
US7728364B2 (en) 2007-01-19 2010-06-01 International Business Machines Corporation Enhanced mobility CMOS transistors with a V-shaped channel with self-alignment to shallow trench isolation
JP4299863B2 (ja) 2007-01-22 2009-07-22 エルピーダメモリ株式会社 半導体装置の製造方法
US8444926B2 (en) 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
KR100878015B1 (ko) 2007-01-31 2009-01-13 삼성전자주식회사 산화물 제거 방법 및 이를 이용한 트렌치 매립 방법
JP5048352B2 (ja) 2007-01-31 2012-10-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR100843236B1 (ko) 2007-02-06 2008-07-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
JP2008205219A (ja) 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
US20080202892A1 (en) 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
CN100577866C (zh) 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US20080216901A1 (en) 2007-03-06 2008-09-11 Mks Instruments, Inc. Pressure control for vacuum processing system
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20080216958A1 (en) 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
JP4833890B2 (ja) 2007-03-12 2011-12-07 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ分布補正方法
JP2010521820A (ja) 2007-03-12 2010-06-24 東京エレクトロン株式会社 基板内での処理の均一性を改善するための動的な温度背面ガス制御
KR100853485B1 (ko) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조 방법
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US7815814B2 (en) 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
JP4418027B2 (ja) 2007-03-28 2010-02-17 キヤノンアネルバ株式会社 真空処理装置
JP4988402B2 (ja) 2007-03-30 2012-08-01 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8235001B2 (en) 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
CN101657565A (zh) 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
JP5282419B2 (ja) 2007-04-18 2013-09-04 ソニー株式会社 半導体装置及びその製造方法
JP5135879B2 (ja) 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
KR100777043B1 (ko) 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP2008305871A (ja) 2007-06-05 2008-12-18 Spansion Llc 半導体装置およびその製造方法
KR20080111627A (ko) 2007-06-19 2008-12-24 삼성전자주식회사 플라즈마 공정장치 및 그 방법
US20090004873A1 (en) 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
US7585716B2 (en) 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
JP5008478B2 (ja) 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US20090000641A1 (en) 2007-06-28 2009-01-01 Applied Materials, Inc. Methods and apparatus for cleaning deposition chamber parts using selective spray etch
KR100877107B1 (ko) 2007-06-28 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성방법
JP4438008B2 (ja) 2007-06-29 2010-03-24 東京エレクトロン株式会社 基板処理装置
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8197636B2 (en) 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
JP5660753B2 (ja) 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
DE102007033685A1 (de) 2007-07-19 2009-01-22 Robert Bosch Gmbh Verfahren zum Ätzen einer Schicht auf einem Silizium-Halbleitersubstrat
JP5583011B2 (ja) 2007-07-19 2014-09-03 コーニンクレッカ フィリップス エヌ ヴェ 照明装置データを送信するための方法、システム及び装置
JP5077659B2 (ja) 2007-07-20 2012-11-21 ニチアス株式会社 触媒コンバーター及び触媒コンバーター用保持材
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
EP2042516A1 (en) 2007-09-27 2009-04-01 Protaffin Biotechnologie AG Glycosaminoglycan-antagonising MCP-1 mutants and methods of using same
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP5251033B2 (ja) 2007-08-14 2013-07-31 ソニー株式会社 半導体装置の製造方法
JP4160104B1 (ja) 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
WO2009025392A2 (en) 2007-08-21 2009-02-26 Panasonic Corporation Plasma processing device and method of monitoring plasma discharge state in plasma processing device
US8202393B2 (en) 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
CN101868850B (zh) 2007-08-31 2012-11-07 东京毅力科创株式会社 半导体装置的制造方法
TWI459851B (zh) 2007-09-10 2014-11-01 Ngk Insulators Ltd heating equipment
JP5148955B2 (ja) 2007-09-11 2013-02-20 東京エレクトロン株式会社 基板載置機構及び基板処理装置
JP5347294B2 (ja) 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5169097B2 (ja) 2007-09-14 2013-03-27 住友電気工業株式会社 半導体装置の製造装置および製造方法
US20120122319A1 (en) 2007-09-19 2012-05-17 Hironobu Shimizu Coating method for coating reaction tube prior to film forming process
US7781332B2 (en) 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US8313610B2 (en) 2007-09-25 2012-11-20 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8298931B2 (en) 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
JP2009088522A (ja) 2007-09-28 2009-04-23 Hynix Semiconductor Inc 半導体装置のリセスゲート製造方法
JP2009088229A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
US20090084317A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
EP2215282B1 (en) 2007-10-11 2016-11-30 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US7838390B2 (en) 2007-10-12 2010-11-23 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices having ion-cured electrically insulating layers therein
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7871926B2 (en) 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
JP5567486B2 (ja) 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
US8668775B2 (en) 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
CN101842877B (zh) 2007-10-31 2012-09-26 朗姆研究公司 用于半导体处理室的温度控制模块及控制元件温度的方法
WO2009057838A1 (en) 2007-11-01 2009-05-07 Eugene Technology Co., Ltd Apparatus for surface-treating wafer using high-frequency inductively-coupled plasma
CN101971298A (zh) 2007-11-02 2011-02-09 佳能安内华股份有限公司 表面处理设备和表面处理方法
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
JP5150217B2 (ja) 2007-11-08 2013-02-20 東京エレクトロン株式会社 シャワープレート及び基板処理装置
US8592318B2 (en) 2007-11-08 2013-11-26 Lam Research Corporation Pitch reduction using oxide spacer
US20090120364A1 (en) 2007-11-09 2009-05-14 Applied Materials, Inc. Gas mixing swirl insert assembly
JP5172617B2 (ja) 2007-11-12 2013-03-27 シャープ株式会社 気相成長装置及び気相成長方法
US7704849B2 (en) 2007-12-03 2010-04-27 Micron Technology, Inc. Methods of forming trench isolation in silicon of a semiconductor substrate by plasma
EP2227831A2 (de) 2007-12-04 2010-09-15 Parabel Ag Mehrschichtiges solarelement
FR2924501B1 (fr) 2007-12-04 2010-02-05 Commissariat Energie Atomique Procede de reglage d'un circuit d'excitation et detection pour resonance magnetique nucleaire et circuit d'excitation et detection adapte a la mise en oeuvre d'un tel procede
JP5142692B2 (ja) 2007-12-11 2013-02-13 株式会社東芝 不揮発性半導体記憶装置
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090159213A1 (en) 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
WO2009086013A2 (en) 2007-12-21 2009-07-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7989329B2 (en) 2007-12-21 2011-08-02 Applied Materials, Inc. Removal of surface dopants from a substrate
JP4974873B2 (ja) 2007-12-26 2012-07-11 新光電気工業株式会社 静電チャック及び基板温調固定装置
US20090170331A1 (en) 2007-12-27 2009-07-02 International Business Machines Corporation Method of forming a bottle-shaped trench by ion implantation
WO2009084194A1 (en) 2007-12-28 2009-07-09 Tokyo Electron Limited Etching method for metal film and metal oxide film, and manufacturing method for semiconductor device
US7910477B2 (en) 2007-12-28 2011-03-22 Texas Instruments Incorporated Etch residue reduction by ash methodology
JP2009170509A (ja) 2008-01-11 2009-07-30 Hitachi High-Technologies Corp ヒータ内蔵静電チャックを備えたプラズマ処理装置
US8018023B2 (en) 2008-01-14 2011-09-13 Kabushiki Kaisha Toshiba Trench sidewall protection by a carbon-rich layer in a semiconductor device
US7998864B2 (en) 2008-01-29 2011-08-16 International Business Machines Corporation Noble metal cap for interconnect structures
TW200933812A (en) 2008-01-30 2009-08-01 Promos Technologies Inc Process for forming trench isolation structure and semiconductor device produced thereby
US20090191711A1 (en) 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
US20090194810A1 (en) 2008-01-31 2009-08-06 Masahiro Kiyotoshi Semiconductor device using element isolation region of trench isolation structure and manufacturing method thereof
US20110308453A1 (en) 2008-01-31 2011-12-22 Applied Materials, Inc. Closed loop mocvd deposition control
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP5250279B2 (ja) 2008-02-23 2013-07-31 東京エレクトロン株式会社 プローブ装置
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
KR101259484B1 (ko) 2008-02-26 2013-05-06 쿄세라 코포레이션 웨이퍼 지지 부재와 그 제조 방법, 및 이것을 사용한 정전 척
US8075728B2 (en) 2008-02-28 2011-12-13 Applied Materials, Inc. Gas flow equalizer plate suitable for use in a substrate process chamber
US8066895B2 (en) 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US8336891B2 (en) 2008-03-11 2012-12-25 Ngk Insulators, Ltd. Electrostatic chuck
US7906818B2 (en) 2008-03-13 2011-03-15 Micron Technology, Inc. Memory array with a pair of memory-cell strings to a single conductive pillar
JP5188849B2 (ja) 2008-03-14 2013-04-24 Sppテクノロジーズ株式会社 プラズマ処理装置
US7915597B2 (en) 2008-03-18 2011-03-29 Axcelis Technologies, Inc. Extraction electrode system for high current ion implanter
JP5179389B2 (ja) 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US9520275B2 (en) 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using
JP5264231B2 (ja) 2008-03-21 2013-08-14 東京エレクトロン株式会社 プラズマ処理装置
WO2009119285A1 (ja) 2008-03-24 2009-10-01 東京エレクトロン株式会社 シャワープレートとこれを用いたプラズマ処理装置
JP5352103B2 (ja) 2008-03-27 2013-11-27 東京エレクトロン株式会社 熱処理装置および処理システム
DE102008016425B4 (de) 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
JP5026326B2 (ja) 2008-04-04 2012-09-12 株式会社日立ハイテクノロジーズ エッチング処理状態の判定方法、システム
US20090258162A1 (en) 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
JP2009266952A (ja) 2008-04-23 2009-11-12 Seiko Epson Corp デバイスの製造方法及び製造装置
US8318605B2 (en) 2008-04-25 2012-11-27 Applied Materials, Inc. Plasma treatment method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere
US8441640B2 (en) 2008-05-02 2013-05-14 Applied Materials, Inc. Non-contact substrate support position sensing system and corresponding adjustments
EP2283510B1 (en) 2008-05-02 2013-01-23 Oerlikon Solar AG, Trübbach Plasma treatment apparatus and method for plasma-assisted treatment of substrates
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8236133B2 (en) 2008-05-05 2012-08-07 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277874A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
KR100999182B1 (ko) 2008-05-20 2010-12-08 주식회사 뉴파워 프라즈마 내장 변압기를 갖는 플라즈마 반응기
KR100998011B1 (ko) 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
KR101006848B1 (ko) 2008-05-28 2011-01-14 주식회사 코미코 기판 지지 장치 및 이를 포함하는 기판 처리 장치
DE102008026134A1 (de) 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
US7754601B2 (en) 2008-06-03 2010-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnect air gap formation process
US20090302005A1 (en) 2008-06-04 2009-12-10 General Electric Company Processes for texturing a surface prior to electroless plating
KR20090128913A (ko) 2008-06-11 2009-12-16 성균관대학교산학협력단 태양전지용 실리콘 기판의 텍스처링 장치 및 그 방법
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
JP2010003826A (ja) 2008-06-19 2010-01-07 Toshiba Corp 半導体装置の製造方法
US8607731B2 (en) 2008-06-23 2013-12-17 Applied Materials, Inc. Cathode with inner and outer electrodes at different heights
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
CN101932750B (zh) 2008-06-27 2014-05-07 三菱重工业株式会社 真空处理装置及真空处理装置的运转方法
JP5211332B2 (ja) 2008-07-01 2013-06-12 株式会社ユーテック プラズマcvd装置、dlc膜及び薄膜の製造方法
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US20100006032A1 (en) 2008-07-11 2010-01-14 Applied Materials, Inc. Chamber components for cvd applications
CN102089867B (zh) 2008-07-11 2013-11-27 东京毅力科创株式会社 等离子体处理装置
JP4473344B2 (ja) 2008-07-15 2010-06-02 キヤノンアネルバ株式会社 プラズマ処理方法及びプラズマ処理装置
US8336188B2 (en) 2008-07-17 2012-12-25 Formfactor, Inc. Thin wafer chuck
US20140034239A1 (en) 2008-07-23 2014-02-06 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven workpiece support electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
JP2011253832A (ja) 2008-07-24 2011-12-15 Canon Anelva Corp レジストトリミング方法及びトリミング装置
KR20100013980A (ko) 2008-08-01 2010-02-10 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US20100025370A1 (en) 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
CN102160167B (zh) 2008-08-12 2013-12-04 应用材料公司 静电吸盘组件
US7882808B2 (en) 2008-08-20 2011-02-08 Crazy K Poultry + Livestock, LLC Protective hen apron
US8702902B2 (en) 2008-08-20 2014-04-22 Vision Dynamics Holding B.V. Device for generating a plasma discharge for patterning the surface of a substrate
US8268729B2 (en) 2008-08-21 2012-09-18 International Business Machines Corporation Smooth and vertical semiconductor fin structure
JP2010047818A (ja) 2008-08-25 2010-03-04 Toshiba Corp 半導体製造装置および半導体製造方法
KR100997502B1 (ko) 2008-08-26 2010-11-30 금호석유화학 주식회사 개환된 프탈릭 언하이드라이드를 포함하는 유기 반사 방지막 조성물과 이의 제조방법
KR101025741B1 (ko) 2008-09-02 2011-04-04 주식회사 하이닉스반도체 수직 채널 트랜지스터의 활성필라 제조방법
US8871645B2 (en) 2008-09-11 2014-10-28 Applied Materials, Inc. Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
US8168268B2 (en) 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US20100081285A1 (en) 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
US8093116B2 (en) 2008-10-06 2012-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for N/P patterning in a gate last process
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7928003B2 (en) 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
US8105465B2 (en) 2008-10-14 2012-01-31 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8207470B2 (en) 2008-10-20 2012-06-26 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma
KR20110074912A (ko) 2008-10-21 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 챔버 세정을 위한 플라즈마 소오스 및 챔버 세정 방법
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100101727A1 (en) 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
JP5396065B2 (ja) 2008-10-28 2014-01-22 株式会社日立製作所 半導体装置の製造方法
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8043933B2 (en) 2008-11-24 2011-10-25 Applied Materials, Inc. Integration sequences with top surface profile modification
JP5358165B2 (ja) 2008-11-26 2013-12-04 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US20100147219A1 (en) 2008-12-12 2010-06-17 Jui Hai Hsieh High temperature and high voltage electrode assembly design
US8540844B2 (en) 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8869741B2 (en) 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
JP2010154699A (ja) 2008-12-26 2010-07-08 Hitachi Ltd 磁束可変型回転電機
US7749917B1 (en) 2008-12-31 2010-07-06 Applied Materials, Inc. Dry cleaning of silicon surface for solar cell applications
US20100183825A1 (en) 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
KR101587601B1 (ko) 2009-01-14 2016-01-25 삼성전자주식회사 비휘발성 메모리 장치의 제조 방법
US20100187694A1 (en) 2009-01-28 2010-07-29 Chen-Hua Yu Through-Silicon Via Sidewall Isolation Structure
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
KR20100087915A (ko) 2009-01-29 2010-08-06 삼성전자주식회사 실린더형 스토리지 노드를 포함하는 반도체 메모리 소자 및그 제조 방법
KR101795658B1 (ko) 2009-01-31 2017-11-08 어플라이드 머티어리얼스, 인코포레이티드 에칭을 위한 방법 및 장치
KR101527195B1 (ko) 2009-02-02 2015-06-10 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자
JP5210191B2 (ja) 2009-02-03 2013-06-12 東京エレクトロン株式会社 窒化珪素膜のドライエッチング方法
JP2010180458A (ja) 2009-02-06 2010-08-19 Kit:Kk アルミニウム表面の酸化層形成方法及び半導体装置の製造方法
US8992723B2 (en) 2009-02-13 2015-03-31 Applied Material, Inc. RF bus and RF return bus for plasma chamber electrode
KR101566922B1 (ko) 2009-02-16 2015-11-09 삼성전자주식회사 저스트 드라이 에칭과 케미컬 드라이 에칭을 조합한 반도체소자의 금속 실리사이드막 형성 방법
US8148749B2 (en) 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
US20100224322A1 (en) 2009-03-03 2010-09-09 Applied Materials, Inc. Endpoint detection for a reactor chamber using a remote plasma chamber
WO2010101369A2 (ko) 2009-03-03 2010-09-10 주성엔지니어링㈜ 가스 분배 장치 및 이를 구비하는 기판 처리 장치
US8368308B2 (en) 2009-03-05 2013-02-05 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
EP2409313A1 (de) 2009-03-17 2012-01-25 Roth & Rau AG Substratbearbeitungsanlage und substratbearbeitungsverfahren
KR101539699B1 (ko) 2009-03-19 2015-07-27 삼성전자주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법
US8312839B2 (en) 2009-03-24 2012-11-20 Applied Materials, Inc. Mixing frequency at multiple feeding points
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5657262B2 (ja) 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
KR101534357B1 (ko) 2009-03-31 2015-07-06 도쿄엘렉트론가부시키가이샤 기판 지지 장치 및 기판 지지 방법
JP5501807B2 (ja) 2009-03-31 2014-05-28 東京エレクトロン株式会社 処理装置
US8026179B2 (en) 2009-04-09 2011-09-27 Macronix International Co., Ltd. Patterning method and integrated circuit structure
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
US9431237B2 (en) 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
JP5710591B2 (ja) 2009-04-20 2015-04-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プロセスチャンバ壁上にシリコンコーティングを使用した残留フッ素ラジカルの除去の促進
WO2010123877A2 (en) 2009-04-21 2010-10-28 Applied Materials, Inc. Cvd apparatus for improved film thickness non-uniformity and particle performance
US8110889B2 (en) 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
US8623141B2 (en) 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
KR101360876B1 (ko) 2009-06-03 2014-02-11 어플라이드 머티어리얼스, 인코포레이티드 식각을 위한 방법 및 장치
US8753447B2 (en) 2009-06-10 2014-06-17 Novellus Systems, Inc. Heat shield for heater in semiconductor processing apparatus
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
KR101598332B1 (ko) 2009-07-15 2016-03-14 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
US8440061B2 (en) 2009-07-20 2013-05-14 Lam Research Corporation System and method for plasma arc detection, isolation and prevention
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR101095119B1 (ko) 2009-08-19 2011-12-16 삼성전기주식회사 다이 패키지 및 그 제조방법
US9299539B2 (en) 2009-08-21 2016-03-29 Lam Research Corporation Method and apparatus for measuring wafer bias potential
EP2471065A4 (en) 2009-08-26 2013-01-30 Veeco Instr Inc SYSTEM FOR PRODUCING A PATTERN ON MAGNETIC RECORDING MEDIA
KR20120090996A (ko) 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
KR101200139B1 (ko) 2009-09-02 2012-11-13 세키스이가가쿠 고교가부시키가이샤 실리콘 함유막의 에칭 방법
WO2012118987A1 (en) 2011-03-02 2012-09-07 Game Changers, Llc Air cushion transport
WO2011028349A2 (en) 2009-09-04 2011-03-10 Applied Materials, Inc. Remote hydrogen plasma source of silicon containing film deposition
US20110065276A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5648349B2 (ja) 2009-09-17 2015-01-07 東京エレクトロン株式会社 成膜装置
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
TWI430714B (zh) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
EP2315028A1 (en) 2009-10-26 2011-04-27 Atlas Antibodies AB PODXL protein in colorectal cancer
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR20120102653A (ko) 2009-10-30 2012-09-18 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 제작방법
CN102598131B (zh) 2009-11-04 2016-04-13 应用材料公司 用于图案化的磁盘媒体应用的等离子体离子注入工艺
JP5257328B2 (ja) 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US8716780B2 (en) 2009-11-06 2014-05-06 Rambus Inc. Three-dimensional memory array stacking structure
US8455364B2 (en) 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
KR20110054840A (ko) 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US8742665B2 (en) 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US20110127156A1 (en) 2009-11-30 2011-06-02 Applied Materials, Inc. Chamber for processing hard disk drive substrates
US8604697B2 (en) 2009-12-09 2013-12-10 Jehara Corporation Apparatus for generating plasma
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
WO2011070945A1 (ja) 2009-12-11 2011-06-16 株式会社アルバック 薄膜製造装置、薄膜の製造方法、及び半導体装置の製造方法
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20110140229A1 (en) 2009-12-16 2011-06-16 Willy Rachmady Techniques for forming shallow trench isolation
US8274017B2 (en) 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
JP4927158B2 (ja) 2009-12-25 2012-05-09 東京エレクトロン株式会社 基板処理方法、その基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
WO2011080876A1 (ja) 2009-12-28 2011-07-07 パナソニック株式会社 プラズマドーピング装置
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP5710209B2 (ja) 2010-01-18 2015-04-30 東京エレクトロン株式会社 電磁波給電機構およびマイクロ波導入機構
JP5166458B2 (ja) 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法
JP5608384B2 (ja) 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
EP2360292B1 (en) 2010-02-08 2012-03-28 Roth & Rau AG Parallel plate reactor for uniform thin film deposition with reduced tool foot-print
US8946828B2 (en) 2010-02-09 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having elevated structure and method of manufacturing the same
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US8361338B2 (en) 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
JP5476152B2 (ja) 2010-02-16 2014-04-23 積水化学工業株式会社 窒化シリコンのエッチング方法及び装置
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
JP5662079B2 (ja) 2010-02-24 2015-01-28 東京エレクトロン株式会社 エッチング処理方法
US20110207332A1 (en) 2010-02-25 2011-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film coated process kits for semiconductor manufacturing tools
KR101214758B1 (ko) 2010-02-26 2012-12-21 성균관대학교산학협력단 식각 방법
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US9175394B2 (en) 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8772749B2 (en) 2010-03-16 2014-07-08 Sandisk 3D Llc Bottom electrodes for use with metal oxide resistivity switching layers
KR20130055582A (ko) 2010-03-17 2013-05-28 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 소오스 지원형 실리콘 함유 막 증착을 위한 장치 및 방법
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8574447B2 (en) 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256421A1 (en) 2010-04-16 2011-10-20 United Technologies Corporation Metallic coating for single crystal alloys
US8288268B2 (en) 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
US8562742B2 (en) 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US8496756B2 (en) 2010-04-30 2013-07-30 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US20110265951A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US20110265884A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US20110278260A1 (en) 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US20140154668A1 (en) 2010-05-21 2014-06-05 The Trustees Of Princeton University Structures for Enhancement of Local Electric Field, Light Absorption, Light Radiation, Material Detection and Methods for Making and Using of the Same.
JP5567392B2 (ja) 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5751895B2 (ja) 2010-06-08 2015-07-22 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法および基板処理装置
US8373239B2 (en) 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
JP2011258768A (ja) 2010-06-09 2011-12-22 Sumitomo Electric Ind Ltd 炭化珪素基板、エピタキシャル層付き基板、半導体装置および炭化珪素基板の製造方法
US20110304078A1 (en) 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
US8928061B2 (en) 2010-06-30 2015-01-06 SanDisk Technologies, Inc. Three dimensional NAND device with silicide containing floating gates
US8349681B2 (en) 2010-06-30 2013-01-08 Sandisk Technologies Inc. Ultrahigh density monolithic, three dimensional vertical NAND memory device
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
JP5463224B2 (ja) 2010-07-09 2014-04-09 日本発條株式会社 流路付きプレートの製造方法、流路付きプレート、温度調節プレート、コールドプレート、及びシャワープレート
KR101202352B1 (ko) 2010-07-19 2012-11-16 삼성디스플레이 주식회사 유기 발광 표시 장치 및 이의 제조 방법
US8338211B2 (en) 2010-07-27 2012-12-25 Amtech Systems, Inc. Systems and methods for charging solar cell layers
US8278203B2 (en) 2010-07-28 2012-10-02 Sandisk Technologies Inc. Metal control gate formation in non-volatile storage
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8222125B2 (en) 2010-08-12 2012-07-17 Ovshinsky Innovation, Llc Plasma deposition of amorphous semiconductors at microwave frequencies
JP5198611B2 (ja) 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
TW201213594A (en) 2010-08-16 2012-04-01 Air Liquide Etching of oxide materials
US20120017989A1 (en) 2010-08-24 2012-01-26 Pai-Chun Chang Metal and metal oxide surface texturing
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8580699B2 (en) 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
US20120088356A1 (en) 2010-09-14 2012-04-12 Applied Materials, Inc. Integrated platform for in-situ doping and activation of substrates
KR20120029291A (ko) 2010-09-16 2012-03-26 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US8993434B2 (en) 2010-09-21 2015-03-31 Applied Materials, Inc. Methods for forming layers on a substrate
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
KR101209003B1 (ko) 2010-10-14 2012-12-06 주식회사 유진테크 3차원 구조의 메모리 소자를 제조하는 방법 및 장치
US8183134B2 (en) 2010-10-19 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method with improved epitaxial quality of III-V compound on silicon surfaces
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
JP5544343B2 (ja) 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
WO2012058377A2 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Methods for etching oxide layers using process gas pulsing
US9111994B2 (en) 2010-11-01 2015-08-18 Magnachip Semiconductor, Ltd. Semiconductor device and method of fabricating the same
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
CN103168344A (zh) 2010-11-03 2013-06-19 应用材料公司 用于沉积碳化硅和碳氮化硅膜的设备和方法
US8389416B2 (en) 2010-11-22 2013-03-05 Tokyo Electron Limited Process for etching silicon with selectivity to silicon-germanium
KR20120058962A (ko) 2010-11-30 2012-06-08 삼성전자주식회사 반도체 장치의 제조 방법
US8475103B2 (en) 2010-12-09 2013-07-02 Hamilton Sundstand Corporation Sealing washer assembly for large diameter holes on flat surfaces
US8470713B2 (en) 2010-12-13 2013-06-25 International Business Machines Corporation Nitride etch for improved spacer uniformity
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5728221B2 (ja) 2010-12-24 2015-06-03 東京エレクトロン株式会社 基板処理方法及び記憶媒体
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
KR101246170B1 (ko) 2011-01-13 2013-03-25 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치
KR101529578B1 (ko) 2011-01-14 2015-06-19 성균관대학교산학협력단 플라즈마 기판 처리 장치 및 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8363476B2 (en) 2011-01-19 2013-01-29 Macronix International Co., Ltd. Memory device, manufacturing method and operating method of the same
US9018692B2 (en) 2011-01-19 2015-04-28 Macronix International Co., Ltd. Low cost scalable 3D memory
JP6006643B2 (ja) 2011-01-20 2016-10-12 東京エレクトロン株式会社 真空処理装置
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US9068265B2 (en) 2011-02-01 2015-06-30 Applied Materials, Inc. Gas distribution plate with discrete protective elements
KR101732936B1 (ko) 2011-02-14 2017-05-08 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20120216955A1 (en) 2011-02-25 2012-08-30 Toshiba Materials Co., Ltd. Plasma processing apparatus
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
KR101895307B1 (ko) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버
WO2012118951A2 (en) 2011-03-01 2012-09-07 King Abdullah University Of Science And Technology Silicon germanium mask for deep silicon etching
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
FR2972563B1 (fr) 2011-03-07 2013-03-01 Altis Semiconductor Snc Procédé de traitement d'une couche de nitrure de métal oxydée
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
WO2012128783A1 (en) 2011-03-22 2012-09-27 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
US8828245B2 (en) 2011-03-22 2014-09-09 Industrial Technology Research Institute Fabricating method of flexible circuit board
TWI525743B (zh) 2011-03-23 2016-03-11 住友大阪水泥股份有限公司 靜電夾持裝置
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP5864879B2 (ja) 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
JP5815967B2 (ja) 2011-03-31 2015-11-17 東京エレクトロン株式会社 基板洗浄装置及び真空処理システム
JP6003011B2 (ja) 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
US9196463B2 (en) 2011-04-07 2015-11-24 Varian Semiconductor Equipment Associates, Inc. System and method for plasma monitoring using microwaves
US8460569B2 (en) 2011-04-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Method and system for post-etch treatment of patterned substrate features
US20120258607A1 (en) 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
US8815720B2 (en) 2011-04-12 2014-08-26 Varian Semiconductor Equipment Associates, Inc. Method of etching a workpiece
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8415250B2 (en) 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
EP2707375A4 (en) 2011-05-13 2015-01-07 Greenct Canada MONO-METALLIC GROUP-11 PRECURSOR COMPOUNDS AND USE THEREOF IN A METAL SEPARATION
US9012283B2 (en) 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US8663389B2 (en) 2011-05-21 2014-03-04 Andrew Peter Clarke Method and apparatus for crystal growth using a membrane-assisted semi-closed reactor
JP5563522B2 (ja) 2011-05-23 2014-07-30 東京エレクトロン株式会社 プラズマ処理装置
KR101390900B1 (ko) 2011-05-31 2014-04-30 세메스 주식회사 기판처리장치
CN105977126B (zh) 2011-05-31 2018-12-07 应用材料公司 用于等离子体蚀刻腔室的孔部件
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
JP5774778B2 (ja) 2011-06-09 2015-09-09 コリア ベーシック サイエンス インスティテュート プラズマ発生源、スパッタリング装置、中性粒子ビーム発生源及び薄膜蒸着システム
US8637372B2 (en) 2011-06-29 2014-01-28 GlobalFoundries, Inc. Methods for fabricating a FINFET integrated circuit on a bulk silicon substrate
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US9117867B2 (en) 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
US9054048B2 (en) 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
CN102867748B (zh) 2011-07-06 2015-09-23 中国科学院微电子研究所 一种晶体管及其制作方法和包括该晶体管的半导体芯片
KR20110086540A (ko) 2011-07-12 2011-07-28 조인숙 불소화합물을 이용한 필름의 선택적인 식각 방법
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8921177B2 (en) 2011-07-22 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit device
JP2013033965A (ja) 2011-07-29 2013-02-14 Semes Co Ltd 基板処理装置、基板処理設備、及び基板処理方法
US20130034666A1 (en) 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
CN102915902B (zh) 2011-08-02 2015-11-25 中微半导体设备(上海)有限公司 一种电容耦合式的等离子体处理装置及其基片加工方法
KR101271247B1 (ko) 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
JP5893864B2 (ja) 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
US9117759B2 (en) 2011-08-10 2015-08-25 Micron Technology, Inc. Methods of forming bulb-shaped trenches in silicon
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
WO2013033315A2 (en) 2011-09-01 2013-03-07 Veeco Instruments Inc. Wafer carrier with thermal features
US20150270135A1 (en) 2011-09-01 2015-09-24 Tel Epion Inc. Gas cluster ion beam etching process
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US20130217243A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US8808562B2 (en) 2011-09-12 2014-08-19 Tokyo Electron Limited Dry metal etching method
US20130260564A1 (en) 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8664012B2 (en) 2011-09-30 2014-03-04 Tokyo Electron Limited Combined silicon oxide etch and contamination removal process
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
JP6122856B2 (ja) 2011-10-06 2017-04-26 エーエスエムエル ネザーランズ ビー.ブイ. チャック、リソグラフィ装置及びチャックを使用する方法
US9653267B2 (en) 2011-10-06 2017-05-16 Applied Materials, Inc. Temperature controlled chamber liner
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20130087309A1 (en) 2011-10-11 2013-04-11 Applied Materials, Inc. Substrate support with temperature control
JP5740281B2 (ja) 2011-10-20 2015-06-24 東京エレクトロン株式会社 金属膜のドライエッチング方法
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130107415A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
WO2013070438A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Precursor distribution features for improved deposition uniformity
US20130115372A1 (en) 2011-11-08 2013-05-09 Primestar Solar, Inc. High emissivity distribution plate in vapor deposition apparatus and processes
JP5779482B2 (ja) 2011-11-15 2015-09-16 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8652298B2 (en) 2011-11-21 2014-02-18 Lam Research Corporation Triode reactor design with multiple radiofrequency powers
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US8900364B2 (en) 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US8440523B1 (en) 2011-12-07 2013-05-14 International Business Machines Corporation Micromechanical device and methods to fabricate same using hard mask resistant to structure release etch
US20130149866A1 (en) 2011-12-12 2013-06-13 Texas Instruments Incorporated Baffle plate for semiconductor processing apparatus
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
KR20130072911A (ko) 2011-12-22 2013-07-02 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
KR101878311B1 (ko) 2011-12-30 2018-07-17 삼성전자주식회사 high-K막을 스페이서 에치 스톱으로 이용하는 반도체 소자 형성 방법 및 관련된 소자
US8603891B2 (en) 2012-01-20 2013-12-10 Micron Technology, Inc. Methods for forming vertical memory devices and apparatuses
JP6010406B2 (ja) 2012-01-27 2016-10-19 東京エレクトロン株式会社 マイクロ波放射機構、マイクロ波プラズマ源および表面波プラズマ処理装置
US8747686B2 (en) 2012-01-27 2014-06-10 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes
CN104040699B (zh) 2012-02-08 2018-06-26 岩谷产业株式会社 使用三氟化氯的装置中的三氟化氯供给路的内面处理方法
US20130175654A1 (en) 2012-02-10 2013-07-11 Sylvain Muckenhirn Bulk nanohole structures for thermoelectric devices and methods for making the same
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
KR102131581B1 (ko) 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US8747610B2 (en) 2012-03-30 2014-06-10 Tokyo Electron Limited Plasma source pumping and gas injection baffle
US8937800B2 (en) 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US20130284369A1 (en) 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
KR20190124348A (ko) 2012-04-26 2019-11-04 어플라이드 머티어리얼스, 인코포레이티드 Esc 본딩 접착제 부식을 방지하기 위한 방법들 및 장치
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
US9162236B2 (en) 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9948214B2 (en) 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
JP6005579B2 (ja) 2012-04-27 2016-10-12 日本碍子株式会社 半導体製造装置用部材
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
CN102637573B (zh) * 2012-04-28 2016-02-17 中微半导体设备(上海)有限公司 半导体处理装置及制作方法
CN103377979B (zh) 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
US9976215B2 (en) 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
JP2013235912A (ja) 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
CN103388132B (zh) 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US20130298942A1 (en) 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
KR101917815B1 (ko) 2012-05-31 2018-11-13 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
FR2991320B1 (fr) 2012-06-05 2014-06-27 Commissariat Energie Atomique Procede de preparation d'amines methylees
US8974164B2 (en) 2012-06-26 2015-03-10 Newfrey Llc Plastic high heat fastener
US8916477B2 (en) 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US9034773B2 (en) 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US8802572B2 (en) 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
KR101989514B1 (ko) 2012-07-11 2019-06-14 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9184030B2 (en) 2012-07-19 2015-11-10 Lam Research Corporation Edge exclusion control with adjustable plasma exclusion zone ring
US9631273B2 (en) 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
JP6160619B2 (ja) 2012-08-01 2017-07-12 Tdk株式会社 フェライト磁性材料、フェライト焼結磁石及びモータ
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8772888B2 (en) 2012-08-10 2014-07-08 Avalanche Technology Inc. MTJ MRAM with stud patterning
US20140051253A1 (en) 2012-08-14 2014-02-20 Lam Research Corporation Plasma baffle ring for a plasma processing apparatus and method of use
US8747680B1 (en) 2012-08-14 2014-06-10 Everspin Technologies, Inc. Method of manufacturing a magnetoresistive-based device
US9364871B2 (en) 2012-08-23 2016-06-14 Applied Materials, Inc. Method and hardware for cleaning UV chambers
WO2014035933A1 (en) 2012-08-28 2014-03-06 Applied Materials, Inc. Methods and apparatus for forming tantalum silicate layers on germanium or iii-v semiconductor devices
US20140062285A1 (en) 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
TWI604528B (zh) 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
TWI591712B (zh) 2012-10-03 2017-07-11 應用材料股份有限公司 使用低溫蝕刻劑沉積與電漿後處理的方向性二氧化矽蝕刻
KR102137617B1 (ko) 2012-10-19 2020-07-24 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US9165783B2 (en) 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9777564B2 (en) 2012-12-03 2017-10-03 Pyrophase, Inc. Stimulating production from oil wells using an RF dipole antenna
US9982343B2 (en) 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US20140166618A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
JP6173684B2 (ja) 2012-12-25 2017-08-02 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
JP5962773B2 (ja) 2012-12-28 2016-08-03 ニュー パワー プラズマ カンパニー リミテッド プラズマ反応器及びこれを用いたプラズマ点火方法
JP6328931B2 (ja) 2012-12-31 2018-05-23 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
US9165823B2 (en) 2013-01-08 2015-10-20 Macronix International Co., Ltd. 3D stacking semiconductor device and manufacturing method thereof
US9093389B2 (en) 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
JP6080571B2 (ja) 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP2014154421A (ja) 2013-02-12 2014-08-25 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法、および高周波発生器
US20140234466A1 (en) 2013-02-21 2014-08-21 HGST Netherlands B.V. Imprint mold and method for making using sidewall spacer line doubling
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
TWI487004B (zh) 2013-03-01 2015-06-01 Winbond Electronics Corp 圖案化的方法及記憶體元件的形成方法
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US8859433B2 (en) 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
KR102021988B1 (ko) 2013-03-12 2019-09-17 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US20140262031A1 (en) 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
TWI591211B (zh) 2013-03-13 2017-07-11 應用材料股份有限公司 蝕刻包含過渡金屬的膜之方法
US20140273525A1 (en) 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
US20140273451A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
US9411237B2 (en) 2013-03-14 2016-08-09 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing
US9117670B2 (en) 2013-03-14 2015-08-25 Sunedison Semiconductor Limited (Uen201334164H) Inject insert liner assemblies for chemical vapor deposition systems and methods of using same
US9006106B2 (en) 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US9224583B2 (en) 2013-03-15 2015-12-29 Lam Research Corporation System and method for heating plasma exposed surfaces
WO2014145263A1 (en) 2013-03-15 2014-09-18 Dr. Py Institute, Llc Single-use needle assembly and method
US9276011B2 (en) 2013-03-15 2016-03-01 Micron Technology, Inc. Cell pillar structures and integrated flows
US8946076B2 (en) 2013-03-15 2015-02-03 Micron Technology, Inc. Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10125422B2 (en) 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
JP5386046B1 (ja) 2013-03-27 2014-01-15 エピクルー株式会社 サセプタ支持部およびこのサセプタ支持部を備えるエピタキシャル成長装置
US10941501B2 (en) 2013-03-29 2021-03-09 Analytical Specialties, Inc. Method and composition for metal finishing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US20140308758A1 (en) 2013-04-10 2014-10-16 Applied Materials, Inc. Patterning magnetic memory
US8748322B1 (en) 2013-04-16 2014-06-10 Applied Materials, Inc. Silicon oxide recess etch
US20140311581A1 (en) 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
TWI600786B (zh) 2013-05-01 2017-10-01 應用材料股份有限公司 用於腔室清潔或預清潔製程之鈷移除
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US9720022B2 (en) 2015-05-19 2017-08-01 Lam Research Corporation Systems and methods for providing characteristics of an impedance matching model for use with matching networks
US20140342569A1 (en) 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
JP6002087B2 (ja) 2013-05-29 2016-10-05 東京エレクトロン株式会社 グラフェンの生成方法
US20140357083A1 (en) 2013-05-31 2014-12-04 Applied Materials, Inc. Directed block copolymer self-assembly patterns for advanced photolithography applications
JP6180799B2 (ja) 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR102038647B1 (ko) 2013-06-21 2019-10-30 주식회사 원익아이피에스 기판 지지 장치 및 이를 구비하는 기판 처리 장치
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8871651B1 (en) 2013-07-12 2014-10-28 Globalfoundries Inc. Mask formation processing
US8932947B1 (en) 2013-07-23 2015-01-13 Applied Materials, Inc. Methods for forming a round bottom silicon trench recess for semiconductor applications
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
KR102154112B1 (ko) 2013-08-01 2020-09-09 삼성전자주식회사 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법
US9070635B2 (en) 2013-08-09 2015-06-30 United Microelectronics Corp. Removing method
US20150050812A1 (en) 2013-08-13 2015-02-19 Globalfoundries Inc. Wafer-less auto clean of processing chamber
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9779952B2 (en) 2013-08-27 2017-10-03 Tokyo Electron Limited Method for laterally trimming a hardmask
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP5837012B2 (ja) 2013-09-12 2015-12-24 ラピスセミコンダクタ株式会社 モニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステム
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
US9051655B2 (en) 2013-09-16 2015-06-09 Applied Materials, Inc. Boron ionization for aluminum oxide etch enhancement
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8980758B1 (en) 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
JP6488284B2 (ja) 2013-09-27 2019-03-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シームレスのコバルト間隙充填を可能にする方法
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9214377B2 (en) 2013-10-31 2015-12-15 Applied Materials, Inc. Methods for silicon recess structures in a substrate by utilizing a doping layer
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
KR102132361B1 (ko) 2013-11-06 2020-07-10 매슨 테크놀로지 인크 수직 앤에이앤디 디바이스에 대한 새로운 마스크 제거 방법
WO2015069428A1 (en) 2013-11-06 2015-05-14 Applied Materials, Inc. Particle generation suppressor by dc bias modulation
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US8945414B1 (en) 2013-11-13 2015-02-03 Intermolecular, Inc. Oxide removal by remote plasma treatment with fluorine and oxygen radicals
US9330937B2 (en) 2013-11-13 2016-05-03 Intermolecular, Inc. Etching of semiconductor structures that include titanium-based layers
FR3013503B1 (fr) 2013-11-20 2015-12-18 Commissariat Energie Atomique Procede de gravure selective d’un masque dispose sur un substrat silicie
US9514953B2 (en) 2013-11-20 2016-12-06 Applied Materials, Inc. Methods for barrier layer removal
KR102237700B1 (ko) 2013-11-27 2021-04-08 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
WO2015082083A1 (en) 2013-12-04 2015-06-11 Nec Europe Ltd. Method and system for generating a virtual device resource accessible by an application
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US20150170926A1 (en) 2013-12-16 2015-06-18 David J. Michalak Dielectric layers having ordered elongate pores
US9312168B2 (en) 2013-12-16 2016-04-12 Applied Materials, Inc. Air gap structure integration using a processing system
US20150171008A1 (en) 2013-12-17 2015-06-18 GLOBAL FOUNDRIES Singapore Ptd. Ltd. Integrated circuits with dummy contacts and methods for producing such integrated circuits
KR102102787B1 (ko) 2013-12-17 2020-04-22 삼성전자주식회사 기판 처리 장치 및 블록커 플레이트 어셈블리
US20150170879A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US20150170943A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US20170004975A1 (en) 2013-12-27 2017-01-05 Intel Corporation Technologies for selectively etching oxide and nitride materials and products formed using the same
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9111907B2 (en) 2014-01-02 2015-08-18 Globalfoundries Inc. Silicide protection during contact metallization and resulting semiconductor structures
KR102128465B1 (ko) 2014-01-03 2020-07-09 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자
US9945033B2 (en) 2014-01-06 2018-04-17 Applied Materials, Inc. High efficiency inductively coupled plasma source with customized RF shield for plasma profile control
US20150200042A1 (en) 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9299577B2 (en) 2014-01-24 2016-03-29 Applied Materials, Inc. Methods for etching a dielectric barrier layer in a dual damascene structure
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US20150214066A1 (en) 2014-01-27 2015-07-30 Applied Materials, Inc. Method for material removal in dry etch reactor
JP6312451B2 (ja) 2014-01-29 2018-04-18 東京エレクトロン株式会社 給電部カバー構造及び半導体製造装置
US9502218B2 (en) 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9305749B2 (en) 2014-02-10 2016-04-05 Applied Materials, Inc. Methods of directing magnetic fields in a plasma source, and associated systems
US9378975B2 (en) 2014-02-10 2016-06-28 Tokyo Electron Limited Etching method to form spacers having multiple film layers
JP6059165B2 (ja) 2014-02-19 2017-01-11 東京エレクトロン株式会社 エッチング方法、及びプラズマ処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9209031B2 (en) 2014-03-07 2015-12-08 Sandisk Technologies Inc. Metal replacement process for low resistance source contacts in 3D NAND
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9190290B2 (en) 2014-03-31 2015-11-17 Applied Materials, Inc. Halogen-free gas-phase silicon etch
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
KR102175763B1 (ko) 2014-04-09 2020-11-09 삼성전자주식회사 반도체 메모리 장치 및 이의 제조 방법
US9177853B1 (en) 2014-05-14 2015-11-03 Sandisk Technologies Inc. Barrier layer stack for bit line air gap formation
CN104392963B (zh) 2014-05-16 2017-07-11 中国科学院微电子研究所 三维半导体器件制造方法
US9520485B2 (en) 2014-05-21 2016-12-13 Macronix International Co., Ltd. 3D independent double gate flash memory on bounded conductor layer
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150345029A1 (en) 2014-05-28 2015-12-03 Applied Materials, Inc. Metal removal
US10077497B2 (en) 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US10269541B2 (en) 2014-06-02 2019-04-23 Applied Materials, Inc. Workpiece processing chamber having a thermal controlled microwave window
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9666449B2 (en) 2014-06-17 2017-05-30 Micron Technology, Inc. Conductors having a variable concentration of germanium for governing removal rates of the conductor during control gate formation
US20150371865A1 (en) 2014-06-19 2015-12-24 Applied Materials, Inc. High selectivity gas phase silicon nitride removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9502518B2 (en) 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US20150371861A1 (en) 2014-06-23 2015-12-24 Applied Materials, Inc. Protective silicon oxide patterning
KR102248205B1 (ko) 2014-06-25 2021-05-04 삼성전자주식회사 수직 채널 및 에어 갭을 갖는 반도체 소자
US9768270B2 (en) 2014-06-25 2017-09-19 Sandisk Technologies Llc Method of selectively depositing floating gate material in a memory device
US10487399B2 (en) 2014-06-26 2019-11-26 Applied Materials, Inc. Atomic layer deposition chamber with counter-flow multi inject
US10196741B2 (en) 2014-06-27 2019-02-05 Applied Materials, Inc. Wafer placement and gap control optimization through in situ feedback
KR20160002543A (ko) 2014-06-30 2016-01-08 세메스 주식회사 기판 처리 장치
TWI654332B (zh) 2014-07-02 2019-03-21 美商應用材料股份有限公司 用於電漿處理的多區域基座
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US20160005833A1 (en) 2014-07-03 2016-01-07 Applied Materials, Inc. Feol low-k spacers
US10192717B2 (en) 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US20160043099A1 (en) 2014-08-05 2016-02-11 Applied Materials, Inc. Wordline 3d flash memory air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US20160042968A1 (en) 2014-08-05 2016-02-11 Applied Materials, Inc. Integrated oxide and si etch for 3d cell channel mobility improvements
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US9558928B2 (en) 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9735009B2 (en) 2014-09-15 2017-08-15 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
JP5764246B1 (ja) 2014-09-24 2015-08-19 株式会社日立国際電気 基板処理装置、ガス導入シャフト及びガス供給プレート
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US10083818B2 (en) 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
CN105448737A (zh) 2014-09-30 2016-03-30 联华电子股份有限公司 用以形成硅凹槽的蚀刻制作工艺方法与鳍式场效晶体管
US20160099173A1 (en) 2014-10-03 2016-04-07 Applied Materials, Inc. Methods for etching a barrier layer for an interconnection structure for semiconductor applications
US10407771B2 (en) 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9240315B1 (en) 2014-10-10 2016-01-19 Applied Materials, Inc. CVD oxide surface pre-conditioning by inductively coupled O2 plasma
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10008404B2 (en) 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
KR102122113B1 (ko) 2014-10-17 2020-06-29 램 리써치 코포레이션 튜닝가능한 가스 흐름 제어를 위한 가스 스플리터를 포함하는 가스 공급 전달 장치
US9652567B2 (en) 2014-10-20 2017-05-16 Lam Research Corporation System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US9825051B2 (en) 2014-10-22 2017-11-21 Sandisk Technologies Llc Three dimensional NAND device containing fluorine doped layer and method of making thereof
US9508529B2 (en) 2014-10-23 2016-11-29 Lam Research Corporation System, method and apparatus for RF power compensation in a plasma processing system
US9202708B1 (en) 2014-10-24 2015-12-01 Applied Materials, Inc. Doped silicon oxide etch
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9368369B2 (en) 2014-11-06 2016-06-14 Applied Materials, Inc. Methods for forming a self-aligned contact via selective lateral etch
US9419135B2 (en) 2014-11-13 2016-08-16 Sandisk Technologies Llc Three dimensional NAND device having reduced wafer bowing and method of making thereof
US9466494B2 (en) 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
US9799509B2 (en) 2014-11-26 2017-10-24 Asm Ip Holding B.V. Cyclic aluminum oxynitride deposition
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10781518B2 (en) 2014-12-11 2020-09-22 Applied Materials, Inc. Gas cooled electrostatic chuck (ESC) having a gas channel formed therein and coupled to a gas box on both ends of the gas channel
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US10134750B2 (en) 2014-12-30 2018-11-20 Toshiba Memory Corporation Stacked type semiconductor memory device and method for manufacturing the same
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9633867B2 (en) 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9779919B2 (en) 2015-01-09 2017-10-03 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US10217614B2 (en) 2015-01-12 2019-02-26 Lam Research Corporation Ceramic gas distribution plate with embedded electrode
JP2016134530A (ja) 2015-01-20 2016-07-25 株式会社東芝 加工制御装置、加工制御プログラムおよび加工制御方法
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US20160237570A1 (en) 2015-02-13 2016-08-18 Applied Materials, Inc. Gas delivery apparatus for process equipment
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9275834B1 (en) 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9343358B1 (en) 2015-02-23 2016-05-17 Sandisk Technologies Inc. Three-dimensional memory device with stress compensation layer within a word line stack
WO2016135849A1 (ja) 2015-02-24 2016-09-01 株式会社 東芝 半導体記憶装置及びその製造方法
TW201703130A (zh) 2015-02-25 2017-01-16 應用材料股份有限公司 使用烷基胺於金屬氮化物之選擇性移除的方法及設備
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
TWI670749B (zh) 2015-03-13 2019-09-01 美商應用材料股份有限公司 耦接至工藝腔室的電漿源
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US20160293388A1 (en) 2015-04-03 2016-10-06 Tokyo Electron Limited Pneumatic counterbalance for electrode gap control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102452593B1 (ko) 2015-04-15 2022-10-11 삼성전자주식회사 반도체 장치의 제조 방법
US20160307772A1 (en) 2015-04-15 2016-10-20 Applied Materials, Inc. Spacer formation process with flat top profile
US10049862B2 (en) 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US9576815B2 (en) 2015-04-17 2017-02-21 Applied Materials, Inc. Gas-phase silicon nitride selective etch
US9576788B2 (en) 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10253412B2 (en) 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
JP6184441B2 (ja) 2015-06-01 2017-08-23 キヤノンアネルバ株式会社 イオンビームエッチング装置、およびイオンビーム発生装置
JP6295439B2 (ja) 2015-06-02 2018-03-20 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法、電子デバイスの製造方法
CN107533978B (zh) 2015-06-04 2021-01-08 东芝存储器株式会社 半导体存储装置及其制造方法
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
JP2017017277A (ja) 2015-07-06 2017-01-19 株式会社Screenホールディングス 熱処理装置および熱処理方法
US9659791B2 (en) 2015-07-16 2017-05-23 Applied Materials, Inc. Metal removal with reduced surface roughness
US11473826B2 (en) 2015-07-27 2022-10-18 Mitegen, Llc Cryogenic cooling apparatus, methods, and applications
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10950477B2 (en) 2015-08-07 2021-03-16 Applied Materials, Inc. Ceramic heater and esc with enhanced wafer edge performance
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9659788B2 (en) 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
US10147736B2 (en) 2015-09-03 2018-12-04 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US9564338B1 (en) 2015-09-08 2017-02-07 Applied Materials, Inc. Silicon-selective removal
US9412752B1 (en) 2015-09-22 2016-08-09 Macronix International Co., Ltd. Reference line and bit line structure for 3D memory
US9460959B1 (en) 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
US9853101B2 (en) 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
WO2017062087A1 (en) 2015-10-08 2017-04-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US20170133202A1 (en) 2015-11-09 2017-05-11 Lam Research Corporation Computer addressable plasma density modification for etch and deposition processes
JP2017098478A (ja) 2015-11-27 2017-06-01 東京エレクトロン株式会社 エッチング方法
US9583399B1 (en) 2015-11-30 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10043636B2 (en) 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
TWI692799B (zh) 2015-12-18 2020-05-01 美商應用材料股份有限公司 清潔方法
US9831097B2 (en) 2015-12-18 2017-11-28 Applied Materials, Inc. Methods for selective etching of a silicon material using HF gas without nitrogen etchants
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
JP6907217B2 (ja) 2016-01-20 2021-07-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク
US10074730B2 (en) 2016-01-28 2018-09-11 International Business Machines Corporation Forming stacked nanowire semiconductor device
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
TWI689619B (zh) 2016-04-01 2020-04-01 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
KR102649369B1 (ko) 2016-04-11 2024-03-21 삼성전자주식회사 반도체 소자 및 그 제조 방법
WO2017184223A1 (en) 2016-04-22 2017-10-26 Applied Materials, Inc. Substrate support pedestal having plasma confinement features
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR101773448B1 (ko) 2016-04-29 2017-09-01 세메스 주식회사 안테나 및 그를 이용하는 기판 처리 장치
US10622189B2 (en) 2016-05-11 2020-04-14 Lam Research Corporation Adjustable side gas plenum for edge rate control in a downstream reactor
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102156389B1 (ko) 2016-05-20 2020-09-16 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리를 위한 가스 분배 샤워헤드
GB201609119D0 (en) 2016-05-24 2016-07-06 Spts Technologies Ltd A method of cleaning a plasma processing module
US9812462B1 (en) 2016-06-07 2017-11-07 Sandisk Technologies Llc Memory hole size variation in a 3D stacked memory
JP6792786B2 (ja) 2016-06-20 2020-12-02 東京エレクトロン株式会社 ガス混合装置および基板処理装置
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9978768B2 (en) 2016-06-29 2018-05-22 Sandisk Technologies Llc Method of making three-dimensional semiconductor memory device having laterally undulating memory films
US10522377B2 (en) 2016-07-01 2019-12-31 Lam Research Corporation System and method for substrate support feed-forward temperature control based on RF power
US20180025900A1 (en) 2016-07-22 2018-01-25 Applied Materials, Inc. Alkali metal and alkali earth metal reduction
CN109643630A (zh) 2016-08-26 2019-04-16 应用材料公司 用于等离子体处理腔室的等离子体屏
US10083961B2 (en) 2016-09-07 2018-09-25 International Business Machines Corporation Gate cut with integrated etch stop layer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP2018046185A (ja) 2016-09-15 2018-03-22 東京エレクトロン株式会社 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
WO2018052477A2 (en) 2016-09-15 2018-03-22 Applied Materials, Inc. An integrated method for wafer outgassing reduction
US20180080124A1 (en) 2016-09-19 2018-03-22 Applied Materials, Inc. Methods and systems for thermal ale and ald
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9960068B1 (en) 2016-12-02 2018-05-01 Lam Research Corporation Moment cancelling pad raising mechanism in wafer positioning pedestal for semiconductor processing
WO2018077693A1 (en) 2016-10-28 2018-05-03 Philips Lighting Holding B.V. Monitoring lighting.
KR102633031B1 (ko) 2016-11-04 2024-02-05 에스케이하이닉스 주식회사 반도체 메모리 소자
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10032661B2 (en) * 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
US10164042B2 (en) 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10141328B2 (en) 2016-12-15 2018-11-27 Macronix International Co., Ltd. Three dimensional memory device and method for fabricating the same
US10692880B2 (en) 2016-12-27 2020-06-23 Applied Materials, Inc. 3D NAND high aspect ratio structure etch
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
WO2018126279A1 (en) 2016-12-30 2018-07-05 Lyons Jessica Barbara Digital video file generation
US9960045B1 (en) 2017-02-02 2018-05-01 Applied Materials, Inc. Charge-trap layer separation and word-line isolation for enhanced 3-D NAND structure
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US9779956B1 (en) 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10208383B2 (en) 2017-02-09 2019-02-19 The Regents Of The University Of Colorado, A Body Corporate Atomic layer etching processes using sequential, self-limiting thermal reactions comprising oxidation and fluorination
US20180261686A1 (en) 2017-03-13 2018-09-13 Applied Materials, Inc. Transistor sidewall formation process
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP7176860B6 (ja) 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11447868B2 (en) 2017-05-26 2022-09-20 Applied Materials, Inc. Method for controlling a plasma process
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US20190032211A1 (en) 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
TWI815813B (zh) 2017-08-04 2023-09-21 荷蘭商Asm智慧財產控股公司 用於分配反應腔內氣體的噴頭總成
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11049719B2 (en) 2017-08-30 2021-06-29 Applied Materials, Inc. Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
JP6883495B2 (ja) 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
KR20200123481A (ko) 2018-03-16 2020-10-29 램 리써치 코포레이션 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020063323A1 (en) * 1998-12-04 2002-05-30 Trw Inc. Hydrogen getter for integrated microelectronic assembly
US20020011214A1 (en) * 1999-04-12 2002-01-31 Mohammad Kamarehi Remote plasma mixer
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US20160136660A1 (en) * 2009-10-09 2016-05-19 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TW201426855A (zh) * 2012-09-17 2014-07-01 Applied Materials Inc 差別氧化矽蝕刻
US20160111298A1 (en) * 2014-10-21 2016-04-21 Go-jun Kim Etching method using plasma, and method of fabricating semiconductor device including the etching method

Also Published As

Publication number Publication date
US11101136B2 (en) 2021-08-24
US20190043726A1 (en) 2019-02-07
JP2020530201A (ja) 2020-10-15
US10297458B2 (en) 2019-05-21
WO2019032338A1 (en) 2019-02-14
KR102500217B1 (ko) 2023-02-16
KR20220079701A (ko) 2022-06-13
KR102405728B1 (ko) 2022-06-07
US20190272998A1 (en) 2019-09-05
JP7028956B2 (ja) 2022-03-02
CN110998818A (zh) 2020-04-10
KR20200028041A (ko) 2020-03-13
CN110998818B (zh) 2023-08-01
TW201913809A (zh) 2019-04-01

Similar Documents

Publication Publication Date Title
TWI768093B (zh) 電漿蝕刻製程中使用塗佈部件的製程裕度擴充
JP7393501B2 (ja) 前駆体の流れを改善する半導体処理チャンバ
TWI795404B (zh) 用於多前驅物流的半導體處理腔室與系統
US11024486B2 (en) Semiconductor processing systems having multiple plasma configurations
KR102114002B1 (ko) 다수의 유동 경로들을 사용한 라디칼 케미스트리 조절 및 제어
TWI768838B (zh) 半導體處理系統部件