KR910006164B1 - 박막형성방법과 그 장치 - Google Patents

박막형성방법과 그 장치 Download PDF

Info

Publication number
KR910006164B1
KR910006164B1 KR1019880002874A KR880002874A KR910006164B1 KR 910006164 B1 KR910006164 B1 KR 910006164B1 KR 1019880002874 A KR1019880002874 A KR 1019880002874A KR 880002874 A KR880002874 A KR 880002874A KR 910006164 B1 KR910006164 B1 KR 910006164B1
Authority
KR
South Korea
Prior art keywords
substrate
reaction vessel
reactant
gas
reaction
Prior art date
Application number
KR1019880002874A
Other languages
English (en)
Other versions
KR880011898A (ko
Inventor
하루오 오카노
마고토 세키네
사다히사 노구치
Original Assignee
가부시키가이샤 도시바
아오이 죠이치
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP62061238A external-priority patent/JP2633551B2/ja
Priority claimed from JP62061237A external-priority patent/JP2695778B2/ja
Application filed by 가부시키가이샤 도시바, 아오이 죠이치 filed Critical 가부시키가이샤 도시바
Publication of KR880011898A publication Critical patent/KR880011898A/ko
Application granted granted Critical
Publication of KR910006164B1 publication Critical patent/KR910006164B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/05Etch and refill

Abstract

내용 없음.

Description

박막형성방법과 그 장치
제1도는 본 발명의 박막형성방법을 단계별로 설명한 단면도.
제2도 내지 제4도는 본 발명의 몇가지 실시예에 따른 박막형성장치는 나타낸 부분 구성도.
제5도 및 제6도는 본 발명의 작용을 설명하는 그래프.
제7도는 본 발명에 있어서 압력과 온도의 관계를 나타낸 상태도.
제8도는 본 발명에 어서 증착속도와 압력과의 관계를 나타낸 그래프.
제9도 및 제10도는 본 발명의 실시예에 따른 작용을 설명하는 단면도.
제11도 내지 제15도는 본 발명에 있어서 각 매개변수들 사이의 관계를 나타낸 그래프.
제16도 및 제17도는 본 발명의 다른 실시예에 따른 박막형성장치에 대한 부분 구성도.
제18도는 본 발명에 있어서 기판온도와 증착속도의 관계를 나타낸 그래프.
제19도 및 제20도는 본 발명의 또다른 실시예에 따른 박막형성방법을 단계별로 설명한 단면도.
제21도는 본 발명의 한가지 실시예에 따라 제조된 반도체장치의 단면도.
제22도는 종래에 사용되어온 박막형성방법을 설명하는 단면도.
제23도는 종래에 사용되어온 또다른 박막형성방법을 단계적으로 설명하는 단면도.
제24도는 통상적인 물질의 상전이를 나타내는 상태도.
* 도면의 주요부분에 대한 부호의 설명
1, 101, 112 : 반응용기 2, 20, 113 : 시료호울더
3, 17, 50, 70, 91, 106 : 기판 4, 5, 22, 102 : 흡기구
6 : 제1반응기체 7 : 제2반응기체
8, 103 : 배기구 9 : 마이크로파 방전부
10 : 마이크로파 전원 11 : 도파관
12 : 냉각수단 13, 19 : 가열수단
14, 111 : 가열기 15, 21 : 광선
16 : 광조사수단 17 : 운송계
18 : 열처리실 23 : 불활성기체
24, 115 : 게이트벨트 31, 51 : 트렌치
32, 52 : 증착종 33, 33a, 33b : 박막
53, 78 : 산화막 54 : 트렌치의 입구부분
55 : 트렌치의 바닥부분 56 : 동공부
71 : 게이트 산화막 72 : 게이트전극
73, 74 : 소스, 드레인영역 75 : 실리콘 산화막
76 : 접촉홀 77 : 배선
90 : 액적(液滴) 104 : 상벽
105 : 음극 107 : N2기체
108 : 정합회로 109 : 고주파전원
110 : 용기벽 116 : 절연체
본 발명은 박막형성방법과 그 장치에 관한 것으로서, 더욱 상세하게는 면이 고르지 않거나 트렌치(trench)가 형성되어 있는 기판, 예컨대 반도체기판의 표면위에 박막을 형성시키는 방법과 그 장치에 관한 것이다.
일반적으로, 반도체와 같은 기판위에 박막을 형성하는 방법은 화학적 기상성장법(CVD : Chemical Vapor Deposition)과 물리적 기상성장법(PVD : Physical Vapor Deposition)으로 대별된다.
상기 CVD공정은 기판표면이나 기체상(gaseous phase)중에서 화학반응을 일으켜서 기판상에 박막을 형성하는 방법으로서, 산화규소막이나 질화규소막 등과 같은 절연막을 형성하는데 사용된다. 또한, 상기 PVD공정은 기체상중에서 생성된 증착입자를 기판에 충돌시켜서 박막을 형성하는 방법으로서, 이는 주로 금속막을 형성할 때 사용된다.
최근, VLSI장치를 제조함에 있어서, 보다 만족스러운 결과를 얻기 위해서는 기판위에 형성되어 있는 종횡비( aspect ratio : 깊이/폭)가 1이상인 트렌치의 내부에 박막을 형성시키는 기술이 요구되고 있다.
첨부한 도면 제22도는 종래에 사용되어온 통상적인 플라즈마 CVD공법(예컨대, J. L. Vossen & W. Kern, 박막형성공정 : Academic Press, 1978)에 대한 도면으로서, 실리콘과 같은 기판(50)상에 형성되어 있는 종횡비가 큰 트렌치(51)내에다 기체상으로 생성된 증착 종(52 : deposit species)을 증착시켜서 절연막(53)을 형성하게 된다.
그러나, 이러한 방법에서는 증착종(52)의 트렌치(51)의 입구부분(54)에만 지나치게 밀집되어 증착되기 때문에 다른 증착종(52)이 트렌치(51)의 바닥부분(55)으로 들어가는 것을 방해하게 된다. 그래서 트렌치(51)의 내부에 공동부(56)가 생기게 되고, 기판표면의 단차피복성(stage coating property)이 불량해지게 된다.
이러한 문제점을 해결하기 위하여 CVD법의 일종인 경사스펏터링법(bias sputtering process)이 사용되고 있다(예컨대, T.Mogami, M.Morimoto & H. Okabe-yashi; Extended Abstracts 16th Conf. Solid State Devices & Materials, Kobe, 1984, p 43). 이 방법은, 예컨대 아르곤이온을 사용하여 기판표면을 물리적으로 스펏터링하므로서 산화규소막과 같은 절연막을 형성하는 방법이다.
상기 방법은 제22도에 나타낸 바와 같이 트렌치의 입구부분(54)에남 증착종이 밀집되게 증착되는 문제점에 생기지 않고, 그 바닥부분(55)에도 증착이 진행되므로서, 상기 CVD법에 비하여 단차피복성 및 공동부(56)형성으로 인한 문제점은 개선되게 된다.
그러나, 기체상의 증착종이 트렌스내로 비스듬하게 유입되기 때문에 증횡비가 1이상인 프렌치에 대해서는 그 트렌치를 양호하게 매몰시킬 수가 없었다. 또한 이러한 방법은 물리적 스펏터링에 의한 막증착의 제거와 증착사이의 경쟁반응을 이용한 것으로서, 그 증착속도가 매우 느리기 때문에 생산성이 매우 낮다. 더구나, 플라즈마에서 공정이 진행되기 때문에 방사선에 의한 피해도 심각하다.
최근에는 증착종이 트렌치내에 경사지게 입사되는 성분을 감소시킨 ECR(Electron Cyclotron Resonance) 경사스펏터링(예컨대, H. Oikawa; SEMI TECHNOLOGY SYM, 1986, E3-1)이 제안되어 있다. 이 방법은 증착종이 완전한 용액이 아니라 고체상이라 할지라도 그 증착종이 트렌치내에 경사지게 입사되므로 해서 발생되는 상기 문제점은 크게 감소시켰으나, 종횡비가 큰 트렌치에 우수한 박막을 형성시키기에는 아직 어려움이 남아있었다.
상술한 기술들 이외에도 TEOS의 열분해법(R. D. Rung, T. Momose & Nagakubo : IEDM. Tech. Big 1982, p. 237)을 이용하여 산화규소막을 형성하는 방법이 제안되어 있다. 이 방법은 증착종의 표면이동속도가 매우 커서 제23a도에 나타낸 바와 같이 공동부가 생기지 않고 단차피복특성이 매우 좋다. 그러나, 이러한 방법으로 형성된 트렌치를 갖는 산화막(57)을 예컨대, HF 용액으로 세척하게되면 제23b도에 나타낸 바와 같이 트렌치(51)의 중심부에서 의화막(57)의 제거속도가 특히 빠르게 되고, 따라서 트렌치가 평탄하게 매몰되지 않게 된다. 이는 트렌치의 양쪽벽에서 성장한 산화막의 만곡부가 중심부 주위에 남아 있기 때문인 것으로 생각된다. 따라서, 종래의 어떠한 박막형성 방법을 사용했다하더라도 종횡비가 큰 트렌치를 충전시키기는 매우 어려웠다.
또한, 제23a도에서 열 CVD 등에 의해 불순물을 포함하고 있는 산화막을 고체상 확산원으로 하여 형성시킨 후, 열처리를 행하여 기판 트렌치 주위의 불순물을 확산시킬 수도 있다.
그러나 트렌치의 측벽에 형성된 산화막과 바닥부분에 형성된 산화막을 비교해볼 때, 전자는 불순물의 밀도가 낮기 때문에 이러한 방법으로는 원하는 저항력이 얻어지지 않는 등의 문제가 있었다.
본 발명은 상술한 반도체 등의 기판에 형성되어 있는 종횡비가 높은 트렌치에 박막을 형성하고자 할 때, 트렌치내에 공동부가 생기거나 기판표면의 단차피복성이 불량하게 되거나, 또한 기판에 대한 방사선 피해 등을 해결하기 위한 것으로서, 종횡비가 큰 트렌치에 대해서도 절연물, 반도체, 금속 등의 박막으로 양호하게 매몰할 수 있는 박막형성방법과 그 장치를 제공하는데 그 목적이 있다.
이하, 본 발명을 상세히 설명하면 다음과 같다.
본 발명은 박막을 형성하고자 하는 기체상의 증착종이 기체상으로 부유해 있을 때보다 더 안정한 상태로 기판표면에 존재할 수 있도록 하기 위하여 기판의 온도를 상기 증착종의 액화점 이하로 냉각시키는 것을 그 특징으로 한다.
이하, 첨부한 도면을 참조하여 본 발명을 더욱 상세히 설명하면 다음과 같다.
우선 제1a도에서, 반도체 등과 같은 기판(30)위에 형성되어 있는 고 종횡비의 트렌치(31)내에 기체상의 증착종(32)이 증착되는데, 이때 기판은 증착종의 액화온도이하로 냉각되어 있어서 증착종(32)이 액화되어 기판표면에 부착되게 된다. 참조부호 3은 증착종(32)에 의해서 트렌치(31)내에 형성된 박막이다.
이러한 과정이 계속되게 되면 제1b도에 나타낸 바와 같이 트렌치(31)를 매몰한 박막(33A)이 형성되고, 트렌치(31)를 채운후에도 상기 과정이 계속되면 트렌치(31)와 기판(30)의 표면위에 양호한 박막(33b)이 형성된다.
이러한 현상을 설명하기 위하여 제24도는 온도와 압력을 매개변수로 하여 제1반응기체에서 생성된 활성종(active species)과, 제2반응기체 또는 이들 반응 생성물에 대한 3상(三相 : 기체상, 액체상 및 고체상)의 상태를 나타낸 것이다. 상술한 반응기체 등을 포함하는 물질의 온도가 감소함에 따라서 기체상(A)에서부터 액체상(B)으로의 상전이가 일어난다. 고압조건하에서는 기체상(A)에서부터 액체상(B)으로의 상전이가 더욱 현저하게 나타난다.
예를 들어, 압력이 Po인 반응기체가 기체상(A)의 상태에 있다면, 그 온도가 To(기체상과 액체상의 경계, 즉 액화점)이하로 낮아졌을 때 액체상(B)으로 된다. 만일 온도가 더욱 낮아지면 다시 고체상(C) 으로 전이된다.
본 발명은 상기와 같은 3상 사이의 온도의존성을 반도체 제조시에 트렌치를 매몰하는데 이용한 것으로서, 한가지 기체만을 사용했을때는 기판의 온도를 그 기체의 액화점이하로 두면 된다.
이와 같이 본 발명은 종횡비가 큰 트렌치를 적온에서 양호하게 매몰한 후, 이를 평탄하게 할 수가 있어서 VLSI조립에 매우 적합한 것이다.
이어서, 본 발명의 첫번째 실시예를 설명하면 다음과 같다.
우선 제2도는 본 발명의 첫 번째 실시예에 따른 박막형성장치를 설명하는 구성도로서, 반응용기(1)내에 있는 시료호울더(2)상에 기판(3)이 적재되어 있고, 상기 반응용기(1)내로는 흡기구(4,5)를 통하여 제1반응기체(6)와 제2반응기체(7)의 활성종이 유입되어서 배기시스템에 연결되어 있는 배기구(8)을 통하여 배기된다. 본 실시예에서는 상기 흡기구(4)가 석영으로 되어 있고, 제1반응기체와 제2반응기체의 유량은 질량흐름조절기(도시하지 않음)에 의해 조절된다.
제1반응기체(6)은 상기 흡기구(4)에 연결되어 있는 마이크로파방전부(9)내에서 활성화되는데, 상기 마이크로파는 마이크로파공급원(10)으로부터 도파관(11)을 통하여 방전부(9)로 전달된다.
또한, 본 발명에서는 상기 반응기체(6)가 플라즈마에 의해서 활성화되지만, 열여기(thermal excitation)와 광여기, 또는 전자선여기 등에 의해서 활성화될 수도 있다. 반응용기(1)내의 압력은 밸브(도시하지 않음)의 콘덕턴스(conductance)를 변화시키므로서 설정되고, 상기 압력은 격막진공계(도시하지 않음)에 의해서 측정, 조절된다.
한편, 호울더(2)내에는 기판(3)을 냉각시키기 위한 냉각수단(12)이 설치되어 있고, 필요에 따라서는 가열수단(13)을 추가적으로 설치하여도 좋다. 상기 냉각수단(12) 및 가열수단(13)은 기판(3)의 온도를 경보해주는 제어시스템(도시하지 않음)에 연결되어 있어서 상기 기판(3)의 온도를 정해진 값, 즉 제1반응기체에서 생성된 활성종, 제2반응기체 또는 이들의 반응생성물의 액화점 이하로 유지시킨다.
냉각수단(12)은 냉각관(도시하지 않음)을 따라서 액체질소를 통한 질소기체를 호울더(2)로 유입시키는 구조로 되어 있는데, 이러한 냉각수단은 상기 냉각관 속에 설치되어 있는 니이들 밸브(도시하지 않음)를 사용하여 질소기체의 유속을 조절하므로서 제어된다.
또한, 가열수단(13)으로서는 가열기가 사용될 수 있으나, 이러한 냉각수단 및 가열수단은 이에 국한되지 않고 일정한 온도를 유지시킬 수 있는 것이면 어느 것이나 좋다. 기판은 시료호울더와의 열접촉이 좋게 되도록 하기 위하여 상기 시료대에 고정시킨다.
반응용기(1)에서 기판 및 이 기판을 냉각하는 시료호울더(2)이외의 영역은 반응용기(1)내의 청정도를 유지하기 위하여 예컨대, 반응용기(1)의 벽주위에 전류가 흐르는 가열기(14)가 감겨져 있는 구조로 되어 있어도 좋다.
한편, 본 발명의 박막형성장치로서는 상기 첫번째 실시예 이외에도 제3도 및 제4도에 나타낸 다른 실시예를 사용할 수도 는데, 제3도에 나타낸 장치는 그 구조가 제2도의 장치와 거의 유사한 바, 제2도와 같은 부품은 동일한 부호로 표시한다.
이 실시예의 장치가 상기 첫번째 실시예의 장치와 다른 점은 반응용기(1)내에 전자, 이온 또는 레이져광 등과 같은 광선을 조사할 수 있는 광조사수단(16)이 설치되어 있다는 것이다. 이 광조사수단(16)에 의해서 반응기체를 빛으로 여기할 수가 있는 것이다. 이와 같은 광여기를 사용하게 되면 제2도에 나타낸 장치에서와 같은 기판(3)의 손상등 부수적인 영향을 감소시킬 수 있다.
한편, 도면에는 나타나 있지 않지만 기판(3)은 인접하여 설치된 별실을 통하여 반응용기(1)로 출입되게 되는데 이 별실에는 진공 또는 대기압이상의 불활성기체를 유입할 수 있도록 되어 있다. 이와 같은 반응용기(1)는 소위 "로드-록(load-locking)"에 의해서 공정의 재현성은 크게 개선시킬 수 있다.
제4도에 나타낸 박막형성장치도 역시 제2도의 장치와 거의 동일한 구조로 되어 있는 바, 동일한 부품은 동일한 부호로 나타낸다. 이 실시예는 박막을 형성시킨후, 후처리공정을 실시할 수 있도록 된 박막 형성장치로서 기판(3)에 박막을 형성시킨 후, 운송계(17)을 따라서 운송기구(도시하지 않음)에 의해 기판(3)을 열처리실(18)로 운송시키도록 되어 있다. 열처리실(18)로 운송된 기판(3)은 가열수단(19)을 갖춘 호울더(20) 상에 적재되어 열처리를 실시하게 된다. 이때, 열처리는 기판(3)에 적외선 램프(21)를 조사하여 순간적으로 기판온도를 높여주면 되는 바, 이러한 열처리를 실시하므로서, 기판표면(3)에 남아있는 잔류물이나 먼지등이 제거되어 박막의 질을 향상시킬 수 있다.
또한, 제4도에서 참조부호 22는 불활성 기체(23)를 도입하기 위한 흡기구이고, 24는 반응용기(1)와 열처리실(18)을 구분해주는 게이트 밸브이다.
다음은 본 발명에 다른 박막형성방법의 한가지 실시예에 대하여 설명한다.
본 실시예는 앞에서 설명한 3가지 박막형성장치중의 어느 것을 참조하여 설명하여도 좋으나 여기서는 제2도에 나타낸 장치를 이용하여 설명한다.
본 실시예에서는 제1반응기체로서 산소(O2)를 사용하고, 제2반응기체로서는 테트라메틸실판(Si(CH3)4: TMS)을 이용하며, 또한 기판으로서는 실리콘 기판을 사용하고, 이 기판위에다 산화규소막을 증착시키도록 한다.
먼저, 제1반응기체인 O2기체(6)를 흡기구(4)로 도입시키고, 2.45GHz의 마이크로파를 방전시켜서 산소라디칼(O*)을 생성시킨 다음, 이 산소라디칼을 반응용기(1)로 이송시킨다. TMS는 방전시키지 않은 상태에서 반응용기(1)로 유입시킨다. 반응용기(1)내의 전체압력은 2토르로 설정한다.
시료호울더(2)에는 스테인레스강으로된 파이프(12)가 매몰되어 있고, 여기에 액체질소를 통한 냉각 N2기체를 유입하여 기판(3)의 온도를 저하시킬 수 있도록 한다.
제5도는 상술한 방법으로 기판온도를 변화시켰을 때 실리콘 산화막의 증착속도 및 실리콘트렌치의 매몰형상을 나타낸 것이다. 여기서 O2와 TMS의 유량은 각각 56SCCM, 7CCM 이고, 트렌치의 종횡비는 1 : 5이다.
상기 도면에서 곡선 A에서는 기판의 온도가 -40℃일 때 증착속도가 최대인 것을 알 수 있다. 트렌치의 매몰형상은 실온보다 높은 온도에서는 (a)에서와 같이 O*라디칼과 TMS의 반응에 의해 생성된 실리콘 산화막에 종래와 같은 동공부가 생긴다.
한편, 기판의 온도를 저하시킴에 따라서 트렌치입구의 밀집증착 현상은 감소하게 되고 기판의 온도가-20℃이하에서는 트렌치를 박막으로 완전히 매몰시킬 수가 있다.
한편, 제6도는 TMS에 대한 O2의 유량비를 24로 했을 때 기판온도에 대한 증착속도와 트렌치의 매몰형상을 나타낸 것이다. 유량비 이외의 조건은 제5도의 경우와 동일하다.
상기 제6도에서도 상기 제5도에서와 동일한 경향을 볼 수 있는데, 이러한 방법은 다층배선기술에서 층간절연막을 형성하는데 매우 적합한 것이다.
본 발명자들은 상기와 같은 현상을 설명하고 트렌치내에 박막을 형성하는데 더욱 적당한 조건을 찾아내기 위하여 계속 연구 노력하였는 바, 이에 대하여 좀더 상세히 설명해보기로 한다.
제7도는 TMS-O2의 활성종과 TMS와의 반응생성물로 될 수 있는 헥사메틸디실록산과 트리메틸실란올의 상태도를 나타낸 것이다. 상기 첫번째 실시예에서 설명한 바와 같이 반응용기내의 압력이 2토르일 때 트렌치의 내부를 매몰할 수 있는 것은 기판의 온도가 약 -20℃ 이하일 때 인바, 상기 상태도를 참고하면 기판의 온도가 20℃ 내지 -100℃이고, 용기내의 압력이 10토르미만인 범위에서 증착에 사용될 수 있는 액체는 테트라메틸실란 및 헥사메틸 디실록산 또는 이들 각각인 것으로 추측된다. 그리고, 그 액층내에 산소의 활성종이 혼입되므로서 산화가 진행되는 것으로 생각되는데 이는 그 막의 적외흡수스팩트라가 헥사메틸디실록산의 플라스마중합막의 적외흡수스팩트라와 잘 일치하는 것으로부터도 타당성이 있다고 할 수 있다.
여기서 반응용기내의 압력이 2토르일 경우, 기판의 온도는 헥사메틸디실록산이 액화되는 -22℃이하이고, 테트라메틸실란이 응고되는 -100℃이상이 되어야 한다.
그리고 기판과 시료호울더사이의 열적접촉을 양호하게 하고, 시료의 온도분포를 균일하게 하며, 또한 그 온도를 정확하게 측정제어하여 액화가 가능하도록 할 필요가 있다.
제8도는 반응용기내의 압력을 변화시키면서 증착속도와 증착형상을 비교한 것으로서, 기판온도는 -40℃로 하고, TMS 및 산소의 유량은 각각 7SCCM 및 168SCCM으로 하였다. 상기 도면에 의하면 10토르 근처에서는 증착물이 기판의 표면상에서 큰 덩어리를 형성하여 트렌치를 매몰하지 못하지만, 압력이 낮아짐에 따라 차츰 매몰이 가능하게 되고 증착속도도 증가하게 된다는 것을 알 수 있다.
이때, 기판상에 덩어리로 부착된 액적(液滴; 90)의 형태는 제9도에 나타낸 좌표에 따르면 라플레이스(Laplace)의 방정식에 의해서 다음과 같이 표시된다.
Figure kpo00002
여기서, 참조부호 91은 기판을 나타낸다. 상기 도면에서 평면중에 있는 곡면의 주곡율은 r/sinø이고, 여기에 수직인 주곡율은 R이다. γ는 표면장력이고, ρ는 액체의 밀도이며, ΔPo는 액적(90)의 내압과 외압의 차이이다. 이때, 액적(90)이 회전대칭이고, 정점에서의 두주곡율이 b라고 하면 상기 방정식은 다음과 같이 된다.
Figure kpo00003
따라서 표면장력 γ가 일정할 때 입력차 ΔPo가 커지면 정점의 곡율반경 b는 작아지게 되고, 제10b도에 나타낸 바와 같이 액적(90)이 길쭉한 형상을 갖게 된다. 또한, 마이크로파 방전의 압력이 변화함에 따라 O2활성종의 종류나 양이 변화되어 실리콘 기판표면에 생성된 메틸실란에 대한 접촉각이 변화하는 것으로 생각된다.
이상과 같은 이유 때문에 액화가 일어날 수 있는 압력 및 온도범위라 하더라도 그 압력은 액체가 트렌치내부로 유입될 수 있는 범위의 접촉각이 되도록 하는 것이 좋은 바, 본 실시예에서는 상기 압력이 10토르이하인 것이 바람직하다. 즉, 상기 압력은 헥사메틸디실록산 또는 헥사메틸실란의 액화가 일어날 수 있도록 이들의 삼중점온도 이상으로 하는 것이 좋다.
다음으로, 제11도는 O2와 TMS의 유량비에 대한 증착속도와 증착형태의 변화를 나타낸 것이다. 이 도면에 따르면 증착속도는 O2/TMS의 유량비가 약 20일 때 최고인 것을 알 수 있다. 또한, O2/TMS의 유량비가 약 4일 때, 트렌치의 매몰이 가능하고, 그 이상에서는 매몰이 가능하다는 것을 알 수 있다. 이때 O2와 TMS로부터 헥사메틸디실록산이 형성되는데 있어서 다음과 같은 반응이 일어나는 것으로 생각된다.
Figure kpo00004
여기서, O2/TMS=2이고, TMS가 7SCCM이면, 따라서 O2는 14SCCM이상이 되어야 하며, O2/TMS의 유량비는 이상적으로 반응이 일어날 경우에는 2이상인 것이 바람직하다.
제12도는 O2/TMS의 유량비가 각각 8(그래프 A), 24(그래프 B), 40(그래프 C)일 경우에 증착된 막의 적외선 흡수스펙트라를 나타낸 것으로서 1200cm-1내지 1000cm-1의 범위에서 Si-O-Si의 흡수피크가 있고, 막은 실리콘 산화막인 것이 확인되었다. 제13도는 상기 Si-O-Si의 흡수피크에 따라 규격화한 Si-CH3, Si-H, O-H 흡수피크의 강도변화를 O2/TMS유량비에 대하여 나타낸 것이다. 이 도면에서 O2/TMS가 커짐에 따라 실리콘의 산화가 잘 진행된다는 것을 알 수 있다. 참조부호 1 내지 7은 제12도와 대응한다.
다음은 본 발명에 따라 형성된 박막의 성질에 대하여 조사해 본 것이다. 우선 제14도에 나타낸 바와 같이, 6% HF와 30% NH3F 수용액을 사용하여 증착막의 에칭속도를 변화시키면서 O2/TMS의 유량비를 조사하였다.
그 결과에 의하면 O2/TMS가 증가함에 따라 에칭속도가 감소하고, 막은 더욱 질화된다는 것을 알 수 있다. 이와 같이 질화막의 막질을 좋게 하기 위해서는 O2의 유량을 상대적으로 증가시켜 O2/TMS의 유량비가 크게 되도록 하는 것이 바람직하다는 것을 알 수 있다.
한편, 기판에다 박막을 증착시킨 후, 다음 2가지 방법으로 반응용기중에서 열처리를 실시하였다.
(1) 10토로의 O2중에서 기판의 온도를 300℃로 하여 1시간 동안 열처리시킨다.
(2) 2토르의 O2를 마이크로파로 방전시키면서 기판온도를 300℃로 하여 1시간 동안 열처리시킨다.
제15도는 상기 결과로 얻어진 막의 적외선 흡수 스펙트라에서 Si-O-Si 흡수피크의 강도를 기준으로 하여 규격화시킨 Si-CH3,Si-H, O-H 흡수강도의 변화를 각각 O2/TMS 유량비에 대하여 나타낸 것이다. Si-CH3, O-H의 결합은 (1)의 열처리, (2)의 열처리순서로 감소되고, 실리콘의 산화가 진행되어 있는 것을 알 수 있다. 또한 Si-H의 결합은 (1) 및 (2)의 열처리 도중에서도 없어진다는 것을 알 수 있다.
이와 같이 증착막의 막질을 개선시키기 위해서는 기판의 온도를 적어도 300℃이상으로 하고, 상기 (1)과 (2)의 열처리를 행하므로서 실리콘의 산화를 진행시킬 수가 있고, 특히(2)에 의한 산화결과는 현저하다는 것을 알 수 있다.
또한, 막형성시에 파장이 193nm인 ArF 엑시머레이져(excimer laser)를 기판 표면에 조사하면 상기 액화층, 또는 기판표면이 활성화되어 매몰 및 평탄화가 더욱 효과적으로 진행된다는 것이 실험적으로 확인되었다. 이때 ArF 엑시머레이져의 조사에너지는 330joul/cm2sec이고, 그 이상이 되면 활성화는 더 잘 진행되며, 이러한 활성화는 상기 에너지가 330joul/cm2sec이상일 때 일어난다.
또한, 상기 활성화는 이온, 전자 등을 기판표면에 충돌시키므로서 행할 수도 있는데 이렇게 되면 박막내로 활성종의 표면영동(surface migration)이 크게 되어 크렌치에 대한 매몰 및 평탄화를 촉진시키게 된다.
지금까지 설명한 박막형성장치는 분리된 2개의 용기로 이루어진 것으로서, 그 하나는 플라스마 형성용기이고, 다른 하나는 반응용기이다. 그러나, 본 발명은 단일용기형 박막형성장치에도 적용딘다.
제16도는 본 발명의 다른 한가지 실시예에 따른 박막형성장치를 나타낸 것인바, 참조부호 101은 접지된 진공용기로서 반응용기에 해당된다. 제1 및 제2반응기체는 흡기구(102)를 통하여 반응용기(101)내로 유입되고, 반응용기(101)내의 혼합기체는 배기구(103)를 통하여 배기된다.
반응용기(101)내에는 양극(제2전극)으로 작용하는 진공용기의 상벽(104)와, 상기 상벽(104)과, 대향하고 있는 음극(105; 제1전극)이 배치되어 있다. 상기 제1전극에는 기판(106)이 적재되고, 그 내부에는 냉각된 질소기체(107)가 흐르고 있으며, 또한 승온을 위한 가열수단(도시하지 않음)도 설치되어 있다.
제1전극에는 정합회로(108)를 통하여 고주파전원(109)이 연결되어 있고, 상기 반응용기(101)중에서 기판(106)이외의 영역, 예컨대 반응용기의 벽(110)에는 가열기(111)가 설치되어 있는데, 이렇게 하므로서 상기 벽(110)에 증착막이 부착되는 것을 방지하게 된다. 또한, 도시하지는 않았지만 기판(106)이 출입할 때 상기반응용기(101)와 대기사이에 진공 또는 불활성기체를 채운 다음 진공용기를 설치하고, 이 진공용기를 통하여 기판(106)이 출입되도록 되어 있다.
이와 같은 반응용기는 소위, 로드-록형으로 하므로서 공정의 신뢰성을 대폭향상시킬 수 있다. 미셜명부호인 116은 절연체이다
한편 제17도는 본 발명의 또 다른 실시예를 나타낸 것으로서, 상기 제16도와 동일한 부품은 동일한 부호로 표시한다. 본 실시예에서는 먼저 상기 제16도의 실시예와 동일한 방법으로 기판(106)에 박막을 형성시킨후, 운송계(도시하지 않음) 등에 따라 상기 기판(106)을 다른 반응용기(112)로 이동시켜 가열수단(도시하지 않음)을 갖춘 호울더(113)위에 적재하고 열처리를 실시한다.
상기 열처리의 방법은 기판(106)의 위에서부터 적외선램프(114) 등을 조사하여 순간적으로 기판의 온도를 상승시켜도 좋다. 또한 상기 열처리시에는 흡기구(102a)에서 불활성 기체 또는 제1반응기체를 도입하여도 좋고, 열처리도중에 플라즈마가 발생될 수 있도록 정합회로(108)을 통하여 고주파전원(109)이 연결되어 있다. 상기 도면에서 참조부호 115는 게이트 밸브를 나타낸다.
상기 이외에도 본 발명은 여러가지로 적용될 수 있는데 예를 들면, 플라즈마를, 생성하는 수단으로서 상기 RF전력을 인가한 평행판 전극사이에 외부에서 자계가 공급되는 고밀도의 플라즈마를 형성하여도 좋다. 또한, 그외에 ECR방전, 중공음극(hollow cathode)방전, 또는 석영 등의 절연물 진공용기에 기판을 배치하고, 외부에서 고주파전력을 주는 방전을 일으켜도 좋다.
다음은 제16도에 나타낸 박막형성장치를 이용하여 박막을 형성하는 방법에 대하여 설명한다. 여기서는 제1반응기체로서 O2, 제2반응기체로서 TMS를 반응용기(101)내에 유입시킨다. 제18도는 기판(101)의 실리콘기판 표면에 산화실리콘막이 형성되는 모양을 나타낸 것으로서, 횡축은 기판온도, 종축은 중착속도를 나타내고, 단면도는 기판의 온도에 따라서 실리콘기판에 형성된 트렌치의 매몰형태가 서로 다르다는 것을 나타낸다.
플라즈마는 제1 및 제2의 전극사이에 13.56MHZ의 RF전력을 인가하여 고주파방전을 일으켜서 생성한다. 반응용기내에는 O2를 40cc/분, TMS를5cc/분의 비율로 도입하고, 전압력은 5×10-3토르로 한다. 또한 제2전극쪽에 자석을 배치하여 고밀도 플라즈마가 얻어지도록 한다.
제18도에 의하면 기판온도의 변화에 따라서 증착속도가 최대치를 나타내는 것을 알 수 있다. 또한, 실리콘 트렌치의 매몰형태를 관찰해 보면 트렌치의 종횡비가 1이상일 때는 실온보다는 고온측에서 제18도의 (C)와 같이 기체상의 O2라디칼과 TMS가 반응하여 생긴 SiO2가 종래의 플라즈마 CVD에서와 같이 눈이 쌓이는 모양으로 기판에 증착되기 때문에 결국 공동부가 생기게 된다는 것을 알 수 있다.
한편, 기판의 온도가 낮아지면 트렌치의 입구부분에 밀집되게 증착되는 현상이 감소하여 기판의 온도가 -20℃이하가 되면 트렌치가 완전히 매몰되는 것을 알 수 있다. 이러한 현상은 다음과 같은 이유로 해서 일어나는 것으로 추측되는 바, 즉 O2라디칼과 TMS의 반응물인 헥사메틸실록산[(Si(CH3)2O]과 트리메틸실란올(Si(CH3)3OH)등이 제18도에 나타낸 온도에서 액화되어 기판표면에 액층을 형성하게 된다. 이 액층에는 기체상에서 더욱 반응이 진행된 SiO2입자가 스며들고, O2라디칼의 침입과 O이온이 부가되면서 산화가 진행되는 것으로 생각된다.
한편, 상기 액층은 기판표면에 분산되어 있기 때문에 기판의 표면과 넓은 접촉면적을 갖게 되는 트렌치의 바닥 모서리부분에서 가장 안정하게 존재한다. 그 결과 증착시간의 변화를 관찰하면 상기 모서리 부분에서부터 증착이 시작된다는 것을 알수 있다. 따라서 제19도에 나타낸 바와 같이 트렌치의 바닥에서부터 쌓이는 방법으로 막이 형성되기 때문에 종래에는 불가능하였던 고 종횡비의 트렌치도 완전히 매몰할 수가 있고, 그 표면도 매우 평탄하게 되는 것이다.
또한, 저온에서 막을 형성할 수 있기 때문에 다층배선공정에서 층간절연막의 형성에도 매우 유용한 것이다. 한편, O2를 사용하는 대신에 N2나 NH2를 사용하면 질화규소막(Si3N4)의 형성도 가능하다.
그리고, 제2반응기체로서는 원소주기율표의 제2족에서 제6족에 포함되는 적어도 어느 한가지 원소를 포함하는 원료를 사용할 수 있고, 기판의 온도도 적당히 변화시킬 수 있어서 산화막 및 질화막을 용이하게 형성할 수 있는 것이다.
또한, 반응용기내의 기체압력은 상술한 10-3토르에 한정되지 않고, 방전방법이나 사용된 반응기체에 따라 가장 효과적인 압력영역을 선택하여도 좋다.
제1반응기체에 Ar이나 He 등의 불활성기체를 첨가하면 증착종이 준안정(metastable)활성종으로서 수명이 길어지고, 한층더 효율좋은 증착이 이루어진다. 반응기체는 한 종류의 기체를 이용하고, 열분해법 등의 수법으로 바람직한 막을 증착시켜도 좋다.
막형성시에는 예컨대, 파장이 193nm인 엑시머 레이져광이나 이온, 전자 등을 표면에 조사하게 되면 전술한 액화층이 활성화되고, 막내 활성종의 표면영동이 크게 되어서 매몰 및 평탄화가 더욱 완전하게 행하여지는 것이 실험적으로 확인되었다.
상기 산화규소막의 형성에 있어서, 예컨대 TMS에다 POCl3, PCl3, PH3, BCl3, B2H6, AsH4등의 불순물을 첨가하게 되면 이들 불순물을 포함하는 산화막이 형성되고 이것을 트렌치내에 매몰시킨 후, 예컨대 가열기나 램프등으로 순간적으로 가하여 실리콘기판내에 불순물을 확산시킬 수가 있다.
종래에는 열 CVD법 등에 의해서 측벽을 따라 불순물을 포함하고 있는 산화막을 형성하였으나, 실제로는 실리콘트렌치의 측벽부에 형성된 산화막에 포함되어 있는 불순물의 농도는 그 바닥부분의 농도보다 낮고, 따라서 측벽부분에서는 바람직한 비저항을 얻을 수가 없었다. 본 발명의 산화막은 시간의 경과에 따른 증착상태를 나타낸 제19도에서 알 수 있는 바와 같이 막내에 함유되어 있는 불순물의 양이 매우 균일하고, 따라서 증착후에는 제20도와 같이 열처리를 실시하므로서 상기와 같은 문제점을 해결하게 된다.
제20도에 나타낸 확산층은 16M 및 64MDRM과 같은 대용량의 기억장치의 기억용량을 크게 하는데 필수적으료 사용된다. 상기 가열처리는 막 형성후, 예컨대 제16도 또는 제17도의 처리실내에서 본래대로 실시하면 소정의 불순물 이외의 불순물, 예를 들면 탄소, 니켈 및 다른 중금속에 의한 오염을 완전히 피할 수가 있고, 고품질의 막을 증착시킬 수 있다. 반응성기체중에 예컨대 H2나 할로겐 원소를 포함하는 기체가 혼입되어 있으면 TMS중의 메틸기가 환원되어 보다 안정한 CH4및 CH3Cl등이 형성되고 제거되기 때문에 막중의 탄소불순물의 농도가 저하되고, 품질이 보다 향상되게 된다.
본 실시예에서는 AsH4를 제2반응기체에 첨가될 불순물로 사용하고 있으나, 황(P)확산의 경우에는 제1 또는 제2반응기체원소와 반응하여 황을 생성해 낼 수 있는 POCl3, PCl3및 PH3와 같은 물질이 사용될 수도 있다. 또한, 예컨대 H2나 N2등과 AL(CH3)3, Ti(C2H5)2등의 유기금속화합물, W(CO)6, Cr(CO)6등의 카르보닐 금속이나 할로겐화 금속 등을 이용하면 접촉홀(contact hole)등과 같이 종횡비가 큰 공간도 금속으로 매몰할 수가 있다는 것이 확인되었다.
이상과 같은 박막형성이외에도 예컨대 GeH4, SiH4, GeCl4등과 같이 적어도 Si를 포함하고 있는 기체를 이용하면 Si나 Ge의 증착이 가능하다. 또한 As(CH3)3, AsH3, Ga(CH3)3, GaH3등을 이용하면 GaAs 등의 Ⅲ-Ⅴ족 화합물을 증착할 수 있고 In과 P를 함유하는 반응기체를 이용하면 InP 등의 Ⅱ-Ⅵ족 화합물 등을 증착할 수 있다.
상기 이외에 적어도 탄소 및 수소를 함유하는 반응기체를 이용하면 여러가지 고분자유기막의 증착이 가능하고, 예를 들어 메틸메타크릴레이트(MMA)를 도입하고, 기판의 온도를 30℃이하로 낮추므로서 전자선 레지스트에 이용되는 PMMA를 형성할 수 있다.
다음은 본 발명에 따른 박막형성방법의 또다른 실시예에 관한 것으로서 제1반응기체로서 H2N2또는 SiAl2등의 할로겐원소를 포함하는 기체를 사용하고, 제2반응기체로서는 탄소 및 수소를 함유하는 기체를 사용하여 고분자 박막을 형성하는 방법에 대하여 설명한다.
본 실시예도 기본적으로는 앞에서 설명한 실시예들과 비슷하기 때문에 제3도를 이용하여 간략하게 설명한다.
우선, 기판으로서는 그 표면에 종횡비가 1이상인 트렌치가 형성되어 있는 요철형상의 실리콘 기판을 이용한다. 제1반응기체로서는 N2기체를 사용하고, 방전에 의해서 N*라디칼을 반응용기내로 도입시킨다. 제2반응기체로서는 메틸메타크릴레이트(MMA)를 도입함과 동시에 배기시킨다. 기판의 온도는 -30℃이하로 냉각시킨다.
이렇게 하게되면 기판표면의 요철은 MMA의 폴리머인 PMMA막에 의해서 제1도에 설명한 것과 같은 원리로 매몰되어 매우 평탄하게 된다. 상기 PMMA막은 주지하는 바와 같이 전자빔 레지스트로 이용된다.
다음은 본 발명에 따른 박막형성 방법의 또다른 실시예에 관한 설명으로서, 제21도는 본 실시예의 방법을 이용하여 MOS트랜지스터의 소스(source), 드레인(drain)전극 및 배선을 형성한 모양을 나타낸 최종 공정단면도이다.
상기 도면에 따르면, 실리콘 등의 기판(70)상에 게이트 산화막(71) 및 게이트전극(72)을 형성하고, 이 게이트에 대하여 자기정합적인 소스, 드레인영역(73,74)을 형성한다. 그후, 전면을 CVD법 등을 사용하여 실리콘 산화막(75)으로 피복시킨 후, 소스, 드레인(73, 74)상의 상기 실리콘 산화막(75)을 에칭 등에 의애 제거하여 종횡비가 1이상인 접촉홀(76)을 형성한다.
다음으로, 소스, 드레인 전극용 배선(77)을 본 발명의 방법에 따라 형성한다. 이를 구체적으로 설명하면 제1반응기체로서 H2, 제2반응기체로서 Al(CH3)3을 이용하여, 기판의 온도를 소정의 온도로 설정하면 Al의 전극배선(77)은 접촉홀(76)내에 완전히 매몰되고, 다시 계속 증착시키면 아주 평탄하게 된다. 이어서 전극배선(77)을 형성시킨 후 그 전면에 보호막으로서 실리콘 산화막(78)을 형성한다.
상기 보호막도 본 발명에 따라 형성시킬 수 있는 바, 예컨대 배선(77) 및 CVD산화막(75)을 형성하는 트렌치부에 대하여 제1실시예와 동일한 방법으로 보호막을 매몰하면 평탄한 막(78)이 형성된다.
본 실시예에서는 전극배선(77)을 형성하는 동안 기판(70)을 기계적으로 진동시켜 기체상의 트렌치유지층(부동층)을 혼란시키므로서 기판(70)에 상기 Al막이 증착되는 것을 촉진한다. 이와 같이 박막을 형성할 때 기판자체, 또는 기체상에 대해서 진동을 가하면 증착 속도가 촉진되고 막질의 향상도 도모할 수가 있는 것이다.
기판을 진동시키는 수단으로서는 제2도 내지 제4도의 시료호울더(2)에 모우터 등으로 기계적인 진동을 부여하여도 좋고, 또는 호울더(2)내부에 초음파진동자를 내장하여도 좋다.
또한, 본 실시예에서는 MOS트랜지스터 콘택트 등과 같은 트렌치를 매몰하는 금속으로서 Al을 사용하고 있으나, 그 용도에 따라서 제1반응기체로서 H2이외에 N2를 사용할 수도 있고, 제2반응기체로서 Al(CH3)3외에 Ti(C2H5)2등의 유기금속, W(CO)6, Cr(CO)6등의 카르복실금속 또는 할로겐화금속 등을 이용할 수도 있다.
이상, 본 발명의 실시예에 대하여 설명하였고, 다음은 이들 실시예에 대한 몇가지 변형예에 대하여 설명한다.
우선, O2를 방전시키는 대신에 적어도 산소를 포함하고 있는 기체, 예컨대 N2O 등을 이용할 수 있고, 또한 N2혹은 NH3등을 이용하여 실리콘 질화막을 형성시킬 수도 있다.
제2반응기체로서는 원소주기율표의 제2족 내지 제6족에 포함되는 적어도 한가지 원소를 함유하는 기체를 사용하여 이들의 산화막이 질화물을 생성하도록 할 수 있다. 이때, 기판온도는 사용한 기체의 종류에 따라서 제1반응기체의 활성종, 제2반응기체 또는 이들의 반응생성물의 액화점 이하에서 적당히 선정한다.
또한, 제1반응기체에 Ar, He등과 같은 불활성기체를 혼입하면 이들 불활성기체의 수명이 긴 준안정 활성종이 생성된다. 이 활성종에 의해 제1반응기체의 할성종을 보다 멀리 운반할 수가 있고, 따라서 장치설계의 융통성을 향상시킬 수 있다.
상기 이외에도, 예컨대 제1반응기체로서 H2를 사용하고, 제2반응기체로서 GeH4, SiH4, SiCl4, GeCl4등과 같이 적어도 Si나 Ge를 함유하는 기체를 사용하면 Si나 Ge를 증착시킬 수 있다. 제2반응 기체로서 As(CH3)3, AsH3, Ga(CH3)3나 GaH3등을 이용하면 GaAs 등의 Ⅲ,Ⅴ족 화합물을 증착시킬 수 있고, In, P를 함유하는 기체를 사용하면 InP 등의 Ⅱ,Ⅵ족 화합물을 증착시킬 수 있다.
지금까지 설명한 박막의 형성방법은 제2반응기체로서 박막의 원료기체를 이용하였으나, 제1반응기체와 제2반응기체를 혼합하고(예컨대, Al(CH3)4+H2와 같이)를 혼합기체를 제1반응기체로 사용하여도 동일한 효과를 얻을 수 있다.
한편, 제2도 내지 제5도에 있어서, 기판(3)을 적재하고 있는 시료호울더(12)에 회전기구를 접속하여 기판(3)을 고속으로 회전시키므로서 기판에 반응기체가 균일하게 확산되도록 하여도 좋다. 이때, 기판은 일정한 속도로 회전시켜도 좋으나, 간헐적으로 회전시켜서 기체상이 기판과 같이 회전하는 것을 방지하여도 좋다.
상기와 같이 구성하게 되면, 증착속도를 보다 증가시킬 수 있고 특히 실리콘웨이프등과 같은 대형기판 경우, 흡기구(4,5)에서 기판(3) 표면까지의 거리가 멀기 때문에 생기기 쉬운 증착막의 조성물 및 증착속도의 변화를 방지할 수 있다.
또한, 전자, 이온, 또는 레이져광 등을 조사할 경우, 특히 광선의 불균일성을 보완함과 동시에 대구경의 광선을 사용하지 않아도 되는 장점이 있는 것이다.
제2도 내지 제4도에서는 복수개의 기판을 동시에 반응용기내에 도입하도록 하여도 좋은 바 예를 들면, 반응용기를 정육면체로 할 경우, 그 4면에 기판을 배치하고, 다른 한면으로는 기판을 출입하게 하며, 다른 한면으로는 진공배기 및 제1, 제2반응기체를 도입시키는데 사용하면 된다. 이때, 흡기구에서 각기판을 등거리로 배치하게 되면 균일한 박막을 형성할 수 있다.
이상에서 설명한 바와 같이, 본 발명은 그 요지를 이탈하지 않는 범위내에서 적당하게 변경시킬 수 있다.
이와 같이, 본 발명에 따르면 종횡비가 큰 트렌스에 대해서 조사손상이 생기지 않도록 절연물, 반도체, 금속 등을 종래에 비하여 양호하게 매몰할 수가 있는 것이다.

Claims (55)

  1. 반응용기내에 트렌치(taench) 또는 평탄하지 않은 면을 갖고 있는 기판을 집어넣고, 상기 반응용기내로 반응기체를 주입시켜서 그 반응기체를 활성화시킨 다음, 상기 반응용기의 내부로부터 배기시키고, 기판의 온도를 증착물질의 액화점 이하로 유지시켜 주는 단계로 이루어진 박막의 형성방법.
  2. 제1항에 있어서, 증착물질은 증착종(deposit species)을 포함하고 있는 것임을 특징으로 하는 방법.
  3. 제2항에 있어서, 증착종은 절연체인 것임을 특징으로 하는 방법.
  4. 제3항에 있어서, 절연체는 산화규소인 것임을 특징으로 하는 방법.
  5. 제2항에 있어서, 증착종은 반도체인 것임을 특징으로 하는 방법.
  6. 제2항에 있어서, 증착종은 중합체인 것임을 특징으로 하는 방법.
  7. 제2항에 있어서, 증착종은 금속인 것임을 특징으로 하는 방법.
  8. 제2항에 있어서, 증착종은 가열되어짐을 특징으로 하는 방법.
  9. 제8항에 있어서, 가열은 순간적인 가열인 것을 특징으로 하는 방법.
  10. 제1항에 있어서, 증착물질은 활성종(active species)을 포함하고 있는 것임을 특징으로 하는 방법.
  11. 제1항에 있어서, 증착물질은 반응생성물을 포함하고 있는 것임을 특징으로 하는 방법.
  12. 제1항에 있어서, 기판은 그 표면에 마스크(mask)가 형성되어 있는 것임을 특징으로 하는 방법.
  13. 제1항에 있어서, 기판은 반도체인 것임을 특징으로 하는 방법.
  14. 제13항에 있어서, 반도체 기판은 그 기판의 전도성을 변화시켜주기 위하여 반응기체로서 불순물을 함유하고 있는 것이 사용됨을 특징으로 하는 방법.
  15. 제1항에 있어서, 반응기체를 활성화시키는 단계는 플라즈마를 형성시키는 단계를 포함하는 것을 특징으로 하는 방법.
  16. 제1항에 있어서, 반응기체를 활성화시키는 단계는 반응기체를 열적으로 활성화시키는 단계를 포함하는 것을 특징으로 하는 방법.
  17. 제1항에 있어서, 반응기체를 할성화시키는 단계를 반응기체를 전자선으로 활성화시키는 단계를 포함하는 것을 특징으로 하는 방법.
  18. 제1항에 있어서, 반응기체를 활성화시키는 단계는 반응기체를 광(光)조사로 활성화시키는 단계를 포함하는 것을 특징으로 하는 방법.
  19. 제18항에 있어서, 광조사로 활성화시키는 단계는 반응기체를 레이저광선으로 활성화시키는 단계를 포함하는 것을 특징으로 하는 방법.
  20. 제19항에 있어서, 활성화단계는 파장이 200nm인 레이져광선을 330joul/cm2sec의 출력으로 조사하는 단계를 포함하는 것을 특징으로 하는 방법.
  21. 제1항에 있어서, 온도를 유지시켜 주는 단계를 기판을 냉각시키는 단계를 포함하는 것을 특징으로 하는 방법.
  22. 제21항에 있어서, 온도를 유지시켜 주는 단계는 기판을 가열시키는 단계를 포함하는 것을 특징으로 하는 방법.
  23. 제1항에 있어서, 트렌치는 그 종횡비(aspect ratio)가 1이상인 것을 특징으로 하는 방법.
  24. 제1항에 있어서, 반응기체는 그 내부에 기판이 적재되어 있는 반응용기내에서 활성화되어지는 것을 특징으로 하는 방법.
  25. 제1항에 있어서, 반응기체는 그 내부에 기판이 적재되어 있는 반응용기와는 다른 위치에서 활성화되어지는 것을 특징으로 하는 방법.
  26. 제1항에 있어서, 기판은 시료호울더에 의해 정전기적으로 적재되어지는 것을 특징으로 하는 방법.
  27. 제1항에 있어서, 기판과 반응기체는 상대적으로 진동되어지는 것을 특징으로 하는 방법.
  28. 제1항에 있어서, 반응기체를 주입시키는 단계는 2가지 종류의 제1 및 제2반응기체를 주입시키는 단계를 포함하는 것을 특징으로 하는 방법.
  29. 제28항에 있어서, 제1반응기체는 그 내부에 기판이 적재되어 있는 반응용기내에서 활성화되어지는 것을 특징으로 하는 방법.
  30. 제28항에 있어서, 제1반응기체는 그 내부에 기판이 적재되어 있는 반응용기와는 다른 부위에서 활성화되어지는 것을 특징으로 하는 방법.
  31. 제28항에 있어서, 제1반응기체는 산소, 질소, 수소, 또는 적어도 할로겐원자를 함유하고 있는 것임을 특징으로 하는 방법.
  32. 제28항에 있어서, 제1반응기체는 적어도 하나이상의 불활성기체를 함유하고 있는 것임을 특징으로 하는 방법.
  33. 제28항에 있어서, 제1반응기체는 산소, 질소, 또는 수소중에서 적어도 하나 이상을 함유하고 있으며, 제2반응기체는 주기율표의 제Ⅱ족 내지 Ⅵ족에 포함된 적어도 하나이상의 원소를 함유하고 있는 것을 특징으로 하는 방법.
  34. 제28항에 있어서, 제1반응기체는 수소, 질소 또는 적어도 할로겐 원소를 함유하고 있는 기체중에서 적어도 하나이상을 함유하고 있으며 제2반응기체는 금속 또는 반도체의 유기화합물, 할로겐화물 또는 카르보닐화물 중에서 적어도 하나이상을 함유하고 있는 것임을 특징으로 하는 방법.
  35. 제28항에 있어서, 제1반응기체는 산소이고, 제2반응기체는 테트라메틸실란, 헥사메틸디실록산 또는 트리메틸실란올 중에서 하나를 함유하고 있는 것임을 특징으로 하는 방법.
  36. 제35항에 있어서, 제2반응기체에 대한 제1반응기체의 유량비는 2이상이고 기판의 온도는 20℃ 내지 -100℃이며, 반응용기내의 압력은 10토르 이하로 되도록 하는 것을 특징으로 하는 방법.
  37. 제36항에 있어서, 반응용기내의 압력과 기판의 온도는 제2반응기체의 액적과 기판이 이루는 접촉각이 예각으로 되는 조건이 되도록 하는 것을 특징으로 하는 방법.
  38. 제36항에 있어서, 기판은 증착종을 형성한 후에 산소 또는 산소레디칼을 유입하면서 300℃이상에서 열처리시키는 것을 특징으로 하는 방법.
  39. 트렌치 또는 평탄하지 않는 면을 가지고 있는 기판을 수용하기 위한 반응용기와, 상기 반응용기내에 기판를 적재하는 수단과, 상기 반응용기내로 반응기체를 주입시키는 수단과, 상기 반응기체를 활성화하는 수단과, 상기 기판의 온도를 증착종의 액화점 이하의 온도로 유지시켜주는 수단을 포함하고 있는 것을 특징으로 하는 박막형성장치.
  40. 제39항에 있어서, 활성화수단은 반응용기내에 플라즈마를 형성하는 수단을 포함하는 것을 특징으로 하는 장치.
  41. 제39항에 있어서, 활성화수단은 상기 반응용기의 외부에서 반응기체를 활성화하는 수단을 포함하는 것을 특징으로 하는 장치.
  42. 제39항에 있어서, 활성화수단은 상기 반응용기내에서 반응기체를 활성화하는 수단을 포함하는 것을 특징으로 하는 장치.
  43. 제39항에 있어서, 온도유지수단은 기판을 냉각시키는 수단을 포함하는 것을 특징으로 하는 장치.
  44. 제43항에 있어서, 온도유지수단은 기판을 가열하는 수단을 포함하는 것을 특징으로 하는 장치.
  45. 제39항에 있어서, 적재수단은 시료호울더를 포함하는 것을 특징으로 하는 장치.
  46. 제45항에 있어서, 시료호울더에는 온도유지수단이 설치되어 있는 것을 특징으로 하는 장치.
  47. 제29항에 있어서, 반응용기는 시료호울더를 제외한 부분의 온도를 증착종의 액화점 이하의 온도로 유지시켜주는 수단을 포함하는 것을 특징으로 하는 장치.
  48. 제39항에 있어서, 반응용기는 반응지역과 기판의 출입지역을 포함하는 것을 특징으로 하는 장치.
  49. 제48항에 있어서, 반응용기는 반응지역과 출입지역을 구분하는 수단을 포함하고 있는 것을 특징으로 하는 장치.
  50. 제48항에 있어서, 기판출입지역은 진공을 형성하는 수단을 포함하고 있는 것을 특징으로 하는 장치.
  51. 제48항에 있어서, 기관출입지역은 불활성기체를 대기압 또는 고압으로 주입하는 수단을 포함하는 것을 특징으로 하는 장치.
  52. 제39항에 있어서, 반응기체의 주입수단을 서로다른 반응기체를 주입하는 복수개의 주입수단을 포함하는 것을 특징으로 하는 장치.
  53. 트렌치 또는 평탄하지 않는 면을 가지고 있는 기판을 수용하기 위한 반응용기와, 상기 반응용기내에서 기판을 적재하는 수단, 상기 반응용기내로 반응기체를 도입시키는 수단, 상기 반응용기로부터 배기시키는 수단, 상기 반응기체를 활성화시키는 수단, 상기 기판의 용기를 증착종의 액화점이하의 온도로 유지시켜주는 수단 및 상기 반응기체와 기판을 상대적으로 진동시키는 수단으로 이루어진 것을 특징으로 하는 박막형성장치.
  54. 제53항에 있어서, 진동수단은 기판을 진동시키는 수단을 포함하는 것을 특징으로 하는 장치.
  55. 제53항에 있어서, 진동수단은 반응기체를 진동시키는 수단을 포함하는 것을 특징으로 하는 장치.
KR1019880002874A 1987-03-18 1988-03-18 박막형성방법과 그 장치 KR910006164B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP62-61237 1987-03-18
JP62-61238 1987-03-18
JP62061238A JP2633551B2 (ja) 1987-03-18 1987-03-18 薄膜形成方法
JP62061237A JP2695778B2 (ja) 1987-03-18 1987-03-18 薄膜形成方法

Publications (2)

Publication Number Publication Date
KR880011898A KR880011898A (ko) 1988-10-31
KR910006164B1 true KR910006164B1 (ko) 1991-08-16

Family

ID=26402287

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019880002874A KR910006164B1 (ko) 1987-03-18 1988-03-18 박막형성방법과 그 장치

Country Status (4)

Country Link
US (5) US5156881A (ko)
EP (1) EP0283311B1 (ko)
KR (1) KR910006164B1 (ko)
DE (1) DE3856483T2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011149278A2 (ko) * 2010-05-28 2011-12-01 주식회사 테라세미콘 가스혼합 방지용 대면적 증착장치

Families Citing this family (250)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910003742B1 (ko) * 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd장치
KR910006164B1 (ko) * 1987-03-18 1991-08-16 가부시키가이샤 도시바 박막형성방법과 그 장치
US5677867A (en) * 1991-06-12 1997-10-14 Hazani; Emanuel Memory with isolatable expandable bit lines
JPH02138750A (ja) * 1988-08-24 1990-05-28 Mitsubishi Electric Corp 半導体装置の製造方法
US5262358A (en) * 1989-11-13 1993-11-16 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Method for producing a silicate layer in an integrated circuit
DE3937723A1 (de) * 1989-11-13 1991-05-16 Fraunhofer Ges Forschung Verfahren und vorrichtung zum herstellen einer silikatschicht in einer integrierten schaltung
JPH0437067A (ja) * 1990-05-31 1992-02-07 Canon Inc 半導体素子用電極及び該電極を有する半導体装置及びその製造方法
EP0533254A3 (en) * 1991-09-19 1993-06-23 N.V. Philips' Gloeilampenfabrieken Method of manufacturing a semiconductor device whereby a layer comprising aluminium is deposited on a surface for a semiconductor body
US5439780A (en) * 1992-04-29 1995-08-08 At&T Corp. Energy sensitive materials and methods for their use
WO1994014189A1 (de) * 1992-12-11 1994-06-23 Heraeus Noblelight Gmbh Verfahren zur herstellung von halbleiter- und isolierschichten
WO1994013854A1 (de) * 1992-12-11 1994-06-23 Heraeus Noblelight Gmbh Verfahren zur herstellung von metallischen schichten
DE19514542C2 (de) * 1995-04-20 1997-07-31 Daimler Benz Ag Komposit-Struktur und Verfahren zu deren Herstellung
KR0183729B1 (ko) * 1995-08-18 1999-04-15 김광호 극 박막의 금속층 형성방법 및 이를 이용한 배선 형성방법
JP3080867B2 (ja) 1995-09-25 2000-08-28 日本電気株式会社 Soi基板の製造方法
JP3979687B2 (ja) * 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
US5763010A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US6287988B1 (en) * 1997-03-18 2001-09-11 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method, semiconductor device manufacturing apparatus and semiconductor device
US5872065A (en) * 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US6228781B1 (en) 1997-04-02 2001-05-08 Applied Materials, Inc. Sequential in-situ heating and deposition of halogen-doped silicon oxide
US6231744B1 (en) 1997-04-24 2001-05-15 Massachusetts Institute Of Technology Process for fabricating an array of nanowires
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6531193B2 (en) * 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
WO1999002276A1 (en) * 1997-07-07 1999-01-21 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (tms)
US6455394B1 (en) 1998-03-13 2002-09-24 Micron Technology, Inc. Method for trench isolation by selective deposition of low temperature oxide films
US6451686B1 (en) 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US7157385B2 (en) * 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
DE19750424A1 (de) * 1997-11-14 1999-05-20 Henkel Kgaa Verbessertes Verfahren zum Herstellen von Tensidgranulaten mit einem hohen Schüttgewicht
JP3141827B2 (ja) * 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6045864A (en) * 1997-12-01 2000-04-04 3M Innovative Properties Company Vapor coating method
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6833280B1 (en) * 1998-03-13 2004-12-21 Micron Technology, Inc. Process for fabricating films of uniform properties on semiconductor devices
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6113751A (en) * 1998-08-06 2000-09-05 Lockheed Martin Corporation Electromagnetic beam assisted deposition method for depositing a material on an irradiated substrate
US6037263A (en) * 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
JP4515550B2 (ja) * 1999-03-18 2010-08-04 東芝モバイルディスプレイ株式会社 薄膜形成方法
US6271141B2 (en) 1999-03-23 2001-08-07 Micron Technology, Inc. Methods of forming materials over uneven surface topologies, and methods of forming insulative materials over and between conductive lines
AU5476700A (en) * 1999-06-11 2001-01-02 Quester Technology, Inc. Methods for regulating surface sensitivity of insulating films in semiconductor devices
US6300219B1 (en) * 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
US6395647B1 (en) * 1999-09-02 2002-05-28 Micron Technology, Inc. Chemical treatment of semiconductor substrates
TW521386B (en) * 2000-06-28 2003-02-21 Mitsubishi Heavy Ind Ltd Hexagonal boron nitride film with low dielectric constant, layer dielectric film and method of production thereof, and plasma CVD apparatus
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
EP1326271A4 (en) * 2000-09-18 2005-08-24 Tokyo Electron Ltd METHOD FOR FILMING A GATE INSULATOR, DEVICE FOR FILMING A GATE INSULATOR AND A CLUSTER TOOL
US6897120B2 (en) * 2001-01-03 2005-05-24 Micron Technology, Inc. Method of forming integrated circuitry and method of forming shallow trench isolation in a semiconductor substrate
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6615615B2 (en) 2001-06-29 2003-09-09 Lightwave Microsystems Corporation GePSG core for a planar lightwave circuit
JP3656103B2 (ja) * 2001-09-19 2005-06-08 国立大学法人富山大学 液晶表示素子
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6759344B2 (en) * 2002-01-29 2004-07-06 Asm Japan K.K. Method for forming low dielectric constant interlayer insulation film
WO2003079397A1 (de) * 2002-03-15 2003-09-25 Unaxis Balzers Ag Vakuumplasmagenerator
US7208195B2 (en) * 2002-03-27 2007-04-24 Ener1Group, Inc. Methods and apparatus for deposition of thin films
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
WO2004012257A1 (en) * 2002-07-31 2004-02-05 Astropower, Inc. Method and apparatus for manufacturing net shape semiconductor wafers
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6872588B2 (en) * 2002-11-22 2005-03-29 Palo Alto Research Center Inc. Method of fabrication of electronic devices using microfluidic channels
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) * 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7055912B2 (en) * 2003-09-23 2006-06-06 Terry Lynn Luscombe Vehicle mounted utility apparatus with quick attachment means
US7053010B2 (en) * 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7235459B2 (en) * 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US7087536B2 (en) 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7217634B2 (en) * 2005-02-17 2007-05-15 Micron Technology, Inc. Methods of forming integrated circuitry
US7622392B2 (en) * 2005-02-18 2009-11-24 Tokyo Electron Limited Method of processing substrate, method of manufacturing solid-state imaging device, method of manufacturing thin film device, and programs for implementing the methods
US7510966B2 (en) * 2005-03-07 2009-03-31 Micron Technology, Inc. Electrically conductive line, method of forming an electrically conductive line, and method of reducing titanium silicide agglomeration in fabrication of titanium silicide over polysilicon transistor gate lines
JP4228150B2 (ja) * 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8012847B2 (en) 2005-04-01 2011-09-06 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry and methods of fabricating integrated circuitry
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US20070238301A1 (en) * 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US8343280B2 (en) * 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7682977B2 (en) * 2006-05-11 2010-03-23 Micron Technology, Inc. Methods of forming trench isolation and methods of forming arrays of FLASH memory cells
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US8105956B2 (en) * 2009-10-20 2012-01-31 Micron Technology, Inc. Methods of forming silicon oxides and methods of forming interlevel dielectrics
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8629407B2 (en) * 2011-04-13 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contamination inspection
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
JP6093362B2 (ja) * 2011-09-28 2017-03-08 ビューラー アルツェナウ ゲゼルシャフト ミット ベシュレンクテル ハフツングBuehler Alzenau GmbH 基板上に反射低減層を生成するための方法および装置
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9640385B2 (en) * 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017160692A1 (en) * 2016-03-16 2017-09-21 Applied Materials, Inc. Oriented laser activated processing chamber
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN109243662B (zh) * 2018-09-14 2019-12-03 复旦大学 无衬底支撑的悬空厚金波带片透镜的制备方法
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3093507A (en) * 1961-10-06 1963-06-11 Bell Telephone Labor Inc Process for coating with silicon dioxide
IT964137B (it) * 1971-09-27 1974-01-21 Ibm Accrescimento di strati isolanti in particolare per dispositivi semiconduttori
US4009680A (en) * 1974-09-16 1977-03-01 Fengler Werner H Apparatus for producing high wear-resistant composite seal
US4743570A (en) * 1979-12-21 1988-05-10 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
GB2085482B (en) * 1980-10-06 1985-03-06 Optical Coating Laboratory Inc Forming thin film oxide layers using reactive evaporation techniques
JPS57179952A (en) * 1981-04-24 1982-11-05 Fuji Photo Film Co Ltd Method and apparatus for magnetic recording medium
US4673592A (en) * 1982-06-02 1987-06-16 Texas Instruments Incorporated Metal planarization process
JPS59163831A (ja) * 1983-03-09 1984-09-14 Fujitsu Ltd 半導体装置の製造方法及びその製造装置
EP0141496A1 (en) * 1983-08-31 1985-05-15 Morton Thiokol, Inc. Process for deposition silicon dioxide containing dopant onto a substrate
JPS61501397A (ja) * 1984-03-12 1986-07-10 コミツサレ・ア・レナジイ・アトミツク エタブリスマン・ドウ・カラクテ−ル・サイエンテイフイツク・テクニツク・エ・アンドウストリ− 部品の表面処理およびとくに熱吹付けにより部品上に次いで蒸着される被膜の密着を改善するためのこの処理の使用
JPS60223153A (ja) * 1984-04-19 1985-11-07 Nippon Telegr & Teleph Corp <Ntt> Mis型キャパシタを有する半導体装置の製法
JPH07105345B2 (ja) * 1985-08-08 1995-11-13 日電アネルバ株式会社 基体処理装置
JPS62271418A (ja) * 1986-05-20 1987-11-25 Matsushita Electric Ind Co Ltd 非晶質シリコン半導体素子の製造方法
JPS6358925A (ja) * 1986-08-29 1988-03-14 Sumitomo Metal Ind Ltd 気相表面処理反応装置
JPS63125680A (ja) * 1986-11-13 1988-05-28 Babcock Hitachi Kk 気相堆積装置
KR910006164B1 (ko) * 1987-03-18 1991-08-16 가부시키가이샤 도시바 박막형성방법과 그 장치
JPH02277238A (ja) * 1989-04-18 1990-11-13 Sony Corp 薄膜の形成方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011149278A2 (ko) * 2010-05-28 2011-12-01 주식회사 테라세미콘 가스혼합 방지용 대면적 증착장치
WO2011149278A3 (ko) * 2010-05-28 2012-03-01 주식회사 테라세미콘 가스혼합 방지용 대면적 증착장치
KR101120039B1 (ko) * 2010-05-28 2012-03-22 주식회사 테라세미콘 가스 혼합 방지용 대면적 증착장치

Also Published As

Publication number Publication date
EP0283311A2 (en) 1988-09-21
DE3856483T2 (de) 2002-04-18
US5385763A (en) 1995-01-31
EP0283311B1 (en) 2001-08-01
EP0283311A3 (en) 1992-04-08
US5776557A (en) 1998-07-07
KR880011898A (ko) 1988-10-31
US5591486A (en) 1997-01-07
US5156881A (en) 1992-10-20
US5458919A (en) 1995-10-17
DE3856483D1 (de) 2001-09-06

Similar Documents

Publication Publication Date Title
KR910006164B1 (ko) 박막형성방법과 그 장치
EP0478174B1 (en) Silicon dioxide deposition method
US5271972A (en) Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US9018108B2 (en) Low shrinkage dielectric films
US5314845A (en) Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
US7435684B1 (en) Resolving of fluorine loading effect in the vacuum chamber
US6143128A (en) Apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US4668365A (en) Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US6191026B1 (en) Method for submicron gap filling on a semiconductor substrate
US6171662B1 (en) Method of surface processing
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
US20020160626A1 (en) Siloxan polymer film on semiconductor substrate
KR20130130035A (ko) Hdp-cvd에 의한 폴리실리콘 필름
JPH0740569B2 (ja) Ecrプラズマ堆積方法
KR100188077B1 (ko) 반도체 웨이퍼상의 붕소 인 규산염 유리 복합층 및 그 형성방법
KR0178620B1 (ko) 요부 내에 막을 형성하는 방법
US7064077B2 (en) Method for high aspect ratio HDP CVD gapfill
KR100729989B1 (ko) 게이트 절연막의 형성 방법
US5281557A (en) Soluble oxides for integrated circuit fabrication formed by the incomplete dissociation of the precursor gas
JP2645215B2 (ja) 薄膜形成装置
KR20220059967A (ko) 유전체 재료를 증착하기 위한 방법들 및 장치
TWI278531B (en) Microcontamination abatement in semiconductor processing
JP2633551B2 (ja) 薄膜形成方法
KR100685826B1 (ko) 증착 장치 및 이를 이용한 증착 방법
JPH04343456A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20030801

Year of fee payment: 13

LAPS Lapse due to unpaid annual fee