TW323387B - - Google Patents

Download PDF

Info

Publication number
TW323387B
TW323387B TW086105477A TW86105477A TW323387B TW 323387 B TW323387 B TW 323387B TW 086105477 A TW086105477 A TW 086105477A TW 86105477 A TW86105477 A TW 86105477A TW 323387 B TW323387 B TW 323387B
Authority
TW
Taiwan
Prior art keywords
plasma
insulating member
electrode
ring
etching
Prior art date
Application number
TW086105477A
Other languages
English (en)
Inventor
Makoto Aoki
Original Assignee
Tokyo Electron Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP07166866A external-priority patent/JP3121524B2/ja
Priority claimed from JP7169209A external-priority patent/JPH08339895A/ja
Priority claimed from JP17137095A external-priority patent/JP3162955B2/ja
Application filed by Tokyo Electron Co Ltd filed Critical Tokyo Electron Co Ltd
Application granted granted Critical
Publication of TW323387B publication Critical patent/TW323387B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/915Differential etching apparatus including focus ring surrounding a wafer for plasma apparatus

Description

經濟部中央樣準局員工消費合作社印袋 A7 __B7_ 五、發明説明(1 ) 本發明係有關被處理基板、例如對半導體晶圓,施以 電漿處理的電漿處理裝置者。 在以往之半導體製造步驟之中,做爲例如於形成於半 導體晶圓(以下,略稱晶圓)等之表面的絕緣膜形成連接孔 之裝置使用蝕刻裝置。其中,於處理室內配置一對之電極 ,所謂平行平板型之蝕刻裝置係具有優異處理之均勻性, 可處理大口徑處理等的好處,又裝置構成亦較爲簡單之故 ,被多數地使用。 以往之一般平行平板型之蝕刻裝置係例如日本特開昭 62-6 9620號公報所公知,處理室內之一對平板型電極則平 行地對向設置者。此裝置中·令被處理基板之晶圓載置於 下側之電極(下部電極),於此處理室內導入蝕刻氣體的同 時,令高頻電力供予下部電極,於一對電極間產生電漿, 經由蝕刻氣體之解離所產生腐蝕離子,蝕刻晶圓上之絕緣 膜者。 此種絕緣膜之蝕刻處理中,伴隨半導體裝置之髙積體 化,愈加要求微細之加工、處理速度之提升、處理之均勻 性,爲此產生於電極間之電漿密度則被要求更高之密度。 有關此點,於日本特開昭6 2-69620號公報所揭示之電 漿處理裝置中,防止產生電漿之擴散集中於電極間之故, 於一對之電極之至少任一方的外周部配置絕緣構件,令此 絕緣構件和其他之電極或其他之絕緣構件之間的間隔,設 定呈相對向之電極間隔70¾以下地,構成狹間隔構成體, 阻止產生電漿範圍之擴散· 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ----------^------1T------^ (請先閲讀背面之注意事項再填寫本頁) -4 - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(2 ) 但是,日本特開昭62-69620號所揭示之技術係以製造 256k〜1 Μ程度之DRAM爲目的,對於今日之高積體度之裝置 例如64MDRAM之製造已不能適用。即爲實施更髙速且微細 之蝕刻,需較例如處理空內之壓力減壓(提升真空度),以 往則假定爲0.5Torr〜3Torr程度之壓力,而於較其高之真 空度時,難以防止電漿範圔之擴散,無法期望蝕刻率之提 升。而且,由電極間擴散之電漿則直接濺射處理塞內側壁 ,而有產生污染之虞· 又,上述狹間隔構成體中,於接近狹間隔範圍的絕緣 構件處,較電極中心部電漿密度則將提升,令處理不均勻 化。更且,上述狹間隔構成體中,有蝕刻氣體等之排氣滯 留於處理範圍無法進行所期望的處理之虞· 又,做爲適於64M之DRAM之製造者,雖提案ECR蝕刻裝 置,但此技術則考量處理時,其極界僅可蝕刻爲6吋晶圓 ,最多8晶圓,對於今後大口徑晶圓而言,例如對於12吋 晶圓則並不適用。 另一方面,例如對於上故電極,將此支持於處理室內 壁,爲被覆於支持時所使用之螺栓等,於該周邊部配置絕 緣構件,又對於下部電極,爲提高離子的入射效率,於該 周邊部設置有以絕緣體所成之聚焦環。 在此等絕緣體之表面中,經由處理時所產生之反應生 成物有附著之疑慮。如果放任其不理會的話,則會污染處 理室內,使產率下降,使清潔周期變短,使裝置動作時間 縮短,而令生產效率下降*爲此,需經由某些手段以防止 本紙張尺度適用中國國家操準(CNS ) A4規格(210X297公釐) I---------^------1T------^ (請先閱讀背面之注意事項再填寫本頁) 3^S387 A7 B7 五、發明説明(3 ) 、除去反應生成物之附著。 此類一段般之反應生成物係在提高溫度時會變得難以 附著,又即使附著也有被除去之傾向。爲此,在於以往, 將經由通電發熱之加熱器,安裝於反應生成物易於附著處 的表面或背面,提升易於附著反應生成物地方之溫度,附 止反應生成物之附著。 但是,由於通電方式另外裝設加熱器時,於加熱器通 電路徑則會激發髙頻雜訊,經由流動於加熱器之通電路徑 的電流止7生磁場,混亂處理室內之電漿,而有阻礙到所 定之蝕刻處理的疑慮。又*另外設置加熱器時,該通電路 徑則會複雜化,成本亦隨之提髙因此並不好。 本發明之第1目的係提供於減壓自如的處理室內,對 向於上部電極和下部電極加以配置,經由髙頻電力之供給 ,於前述上部電極和下部電極間產生電漿,對載置於前述 下部電極上的被處理基板施以處理之電漿處理裝置中,於 前述上部電極之周圍設置上側絕緣構件,前述上側絕緣構 件之外部下端部係位於與前述被處理基板之上面幾近相同 ,或位於下方,前述上側絕緣構件和下部電極間之最狹窄 的間隔則較前述上部電極和下部電極間之間隔爲窄地加以 設定的電漿處理裝置· 本發明之第2目的係提供於減壓自如的處理室內,對 向於上部電極和下部電極加以配置,經由高頻電力之供給 ,於前述上部電極和下部電極間產生電漿,對於前述下部 電極上的被處理基板施以處理之電漿處理裝置中,於前述 本纸張尺度逋用中國國家標準(CNS > A4規格(210X297公釐) ----------装------,訂------^ (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 經濟部中央標準局員工消費合作社印製 A 7 ______B7 五、發明説明(4 ) 上部電極之周邊設置上側絕緣構件,於前述下部電極之周 邊配置半導體材料所成第1之環狀體,和位於前述第1之環 狀體之外圍的絕緣材料所成第2之環狀體,前述上側絕緣 構件之靠內周部分和前述第2之環狀體間之最狹窄間隔則 設定呈較前述上部電極和下部電極間之間隔爲窄,前述上 側絕緣構件之內周緣則設定於對應於前述第2之環狀體之 內周緣和外周緣間的位置的電漿處理裝置。 本發明之第2發明係提供於減壓自如的處理室內,對 向於上部電極和下部電極加以配置,經由高頻電力之供給 ,於前述上部電極和下部電極間產生電漿,對於前述下部 電極上的被處理基板施以處理之電漿處理裝置中,位於前 述上部電極或下部電極之至少一方之周邊部的絕緣構件之 內部中,埋設具有高熱傳導率的傳導構件的電漿處理裝置 〇 有關本發明第1發明之第1形態的電漿處理裝置係於對 向於處理室內設置之上部電極及下部電極之上部電極的周 圍設置上側絕緣構件,此上側絕緣構件之外部下端部係位 於較下部電極之外周外側地加以設置,此上側絕緣構件之 外部下端部係設定於與載置於下部電極上的被處理基板的 上面幾近相同之位置,或位於下方堵,上側絕緣構件和下 部電極間之最狹窄間隔較上部電極和下部電極間之間隔爲 窄地加以設定爲特徵者。此時,上側絕緣構件和下部電極 間之最狹窄間隔的徑方向長爲8mm ± 5mm之程度者爲佳· 根據具有此構成之電漿處理裝置時,上側絕緣構件之 冢紙張尺度適用中國國家標準(CNS > A4規格(210X297公釐) ---------^------,玎------^ (請先閱讀背面之注意事項再填寫本頁) -7 - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(5 ) 外部下端部係設定位於較下端部電極之外周外側,此上側 絕緣構件之外部下端部係與載置於下端電極被處理基板之 上面幾近同一位置,或位於下方地加以設置之故,於上部 電極和下部電極間之空間,呈延伸上側絕緣構件。上側絕 緣構件和下部電極間最狹窄之間隔較上部電極和下部電極 間之間隙爲窄地加以設置之故,會形成狹窄之流路,產生 於上部電極和下部電極間之電漿則於真空度爲高之氣氛之 中亦可有效地抑制擴散,維持髙密度之電漿狀態。而且, 上側絕緣構件之外側下端部係與載置於下端電極被處理基 板之上面幾近同一位置,或位於下方地加以設置之故,漏 出之電漿則不會有直接向側方擴散,濺射處理室內側壁。 於第1形態之中,更且於下部電極之周圔設置下側絕 緣構件,上側絕緣構件之外部下端部則設定位於較此下部 絕緣構件之外周爲外側,此上側絕緣構件和下部電極間之 最狹窄間隔較上部電極和下部電極間之間隔爲窄地加以設 定爲佳。此上側絕緣構件和下部電極間之最狹窄間隔以8 mm ± 5mm之程度者爲佳•然而,就此所言之下側絕緣構件 則使用例如蝕刻處理裝置,爲提升離子之入射效率*使用 聚焦環亦可。 此構成之中,上部電極和下部電極間產生電漿則經由 上側絕緣構件和下側絕緣構件抑制其擴散,可實現髙的電 漿密度,又不會有直接向側方擴散,濺射處理室內側壁的 情形。 於第1形態中,於上側絕緣構件之一部分,形成電漿 本紙張尺度適用中國國家標準(CNS > A4規格(210X297公釐) ----------^------1T------0 (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A7 __B7_ 五、發明説明(6 ) 監視用之窗或缺口部爲佳。由此,透過窗或缺口部,可令 上側絕緣構件內內側的電漿狀態由外側監視。 於第1形態中,上側絕緣構件之下面,例如向下部電 極或下側絕緣構件的面係無段差之連績面,經由例如平坦 面式底緩和曲面加以形成者爲佳•又,對於下側絕緣構件 之上面時,同時形成無段差的連縝面者爲佳。如此地經由 令上側絕緣構件之下面形成無段差之連績面,於上側絕緣 構件之下面可防止電漿所成反應生成物的附著。由此,可 減少除去附著之反應生成物清潔次數· 於第1形態之中,令上部電極和下部電極間之間隔設 定於20mm〜35mm爲佳•經由如此之設定,例如於蝕刻處理 中,於真空度例如lOmTorr〜lOOmTorr之減壓氣氛中,更 容易以高蝕刻宇將微細之蝕刻施於被處理基板•然而,令 上部電極和下部電極間之間隔設定爲10mm〜30mm時,電極 間中央部之電漿密度則爲Ar電漿(不活性電漿)時,呈5X 1011 〜lx 10i〇ns/cm3之程度。 於第1形態中,上側絕緣構件之外部下端部係設定於 位於較被處理基板上面約5mm上方之位置的下方者爲佳。 經由如此之設定,可防止漏出之電漿直接擴散於側方,濺 射處理室內側側壁· 有關本發明之第1發明之第2形態的電漿處理裝置係對 向於處理室內設置之上部電極及下部電極之上部電極的周 圍設置上側絕緣構件,於下部電極之周邊配置半導體材料 所成第1之環狀體,和位於第1之環狀體之外周的絕緣材料 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝. 訂 -9 - 經濟部中央標準局貝工消費合作杜印裝 A7 _B7_ 五、發明説明(7 ) 所成第2環狀體。,上側絕緣構件靠內周部分和第2之環狀 體間最狹窄之間隔則較上部電極和下部電極間之間隔狹窄 地設定,上側絕緣構件之內周緣則設定於位於第2之環狀 體之內周緣和外周緣間爲特徵者。 根據具有此構成的電漿處理裝置,於下部電極之周邊 配置半導體材料所成第1之環狀體,於此第2環狀體和上側 絕緣構件之靠內周部分間,創出較上下電極間隔爲狹的間 隔之故,於上下電極間產生之電漿則於第2之環狀體和上 側絕緣構件之靠內周部分間抑制其擴散。 如此呈封閉狀態之電漿中的離子,係經由第1之環狀 體,於下部電極上之被處理基板上可有效地加以入射。因 此,於髙密度之電漿下,微細之蝕刻則對被處理基板進行 。又,上側絕緣構件之內周緣則對應於第2之環狀體之內 周緣和外周緣間的位置,即位於較第1之環狀體外周之第2 之環狀體之上方之故,不會損及第1之環狀體的作用效果 ,又可抑制伴隨電漿封閉作用,被處理基板周緣部的密度 之不當提升。 於第2形態中,形成上側絕緣構件之最窄間隔部分之 內周緣和外周緣,位於對應於第2之環狀體之內周緣和外 周緣間之位置者爲佳。 於此構成中,爲留意氣體電導之構成者•即形成較上 下電極間爲窄之間隔的上側絕緣構件的靠內周部分之內周 緣和外周緣,設定位於對應於第2環狀體之內周緣和外周 緣間的位抽,即設定於其上方之故,此上側絕緣構件之靠 本紙張尺度適用中國國家橾準(CNS ) A4規格(210X297公釐) ---------装------ir------.^- (請先閲讀背面之注意事項再填寫本頁) -10 - 經濟部中央標攀局負工消费合作社印装 A7 B7 五、發明説明(8 ) 內周部分之徑方向長度(寬度),係較第2之環狀體之徑方 向之長度(寬度)爲短。因此,無需抑制該上下電極間之空 間(處理空間)的排氣時的氣體電導等之處理氣體流量,可 有效封閉電漿。 當然上側絕緣構件之內周緣亦位於較第1之環狀體外 周的第2之環狀體上方之故,可不損及第1之環狀體之作用 效果,又可抑制伴隨電漿封閉作用之被處理基板周緣部之 密度的不當提升。 於第2形態中,第1環狀體之外側周邊部和第2環狀體 之內側周邊部係呈重叠,形成上側絕緣構件之最狹窄間隔 的部分之外周緣則設定於位於對應於第2環狀體之內周緣 和外周緣間,形成上側絕緣構件之最狹窄間隔的部分之內 周緣則位於對應於第1環狀體之外周邊部和第2環狀體之內 周邊部之重叠部分者爲佳· •於此構成中,半導體材料之第1環狀體之外周邊部和 絕緣材料之第2之環狀體之內周邊部雖然重疊,使此重疊 部分較上下電極間之空間其電漿會變得稀薄。因此,於此 部分定位構成電漿封閉手段之上側絕緣構件之最狹窄間隔 部分之內周緣之故,於上述情形時,於接近被處理基板之 地方可達成防止電漿擴散,且不會使較被處理基板周邊之 電漿密度之中心爲高。而且,形成上側絕緣構件之最狹窄 間隔部分之外周緣係位於第2之環狀體之上方之故’氣體 電導亦佳。 於第2形態中,做爲半導體材料,使用矽、Si C等,做 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ----------參------,訂------^ (請先閲讀背面之注意事項再填寫本頁) -11 - 經濟部中央樣準局員工消費合作社印裝 A7 __B7 五、發明説明(9 ) 爲絕緣材料使用石英、藍賫石、A1N等之絕緣性陶瓷等。 然而,於第1及第2之形態中,經由於任一方之電極側 供給相對髙頻之電力,於其他電極側供給相對低頻之電力 的構成,以髙頻電力維持、產生電漿,另一方面,令解離 之離子之被處理基板的入射速度等可以低頻之電力控制。 因此,可容易處理控制。 有關本發明之第2發明之電漿處理裝置,係於位於對 向於處理室內所設置之上部電極及下部電極之至少一方之 周邊部的絕緣構件之內部,埋設具有高熱傳導率之傳導構 件爲特徵者,此時,絕緣構件內部之傳導材料中,形成真 空層者爲佳》在此所謂真空層係意味真空絕熱之層。因此 ,經由於電極板側設置真空層地,以此真空層加以絕熱。 此時熱係傳達至淑度較低的電極板側的相反側。 根據具有此構成之電漿處理裝置時,於處理室內產生 電獎時,絕緣構件之電極和重叠部分(與電極上下方向重 合之部分)之表面,係入射電漿中之離子,由此而呈高溫 。此時,於絕緣構件的內部中,埋設熱傳導率良好之傳導 構件之故,髙溫之熱係傳達至此傳導構件,傳導構件被覆 之區域的表面亦呈高溫。因此,於此画域表面難以附著反 應生成物*又可除去附著之反應生成物。有鑑於此作用, 傳導構件係儘可能埋設於絕緣構件之表面者,可令絕緣構 件表面之溫度爲高· 又,傳導構件係於絕緣構件之內部氣密性地加以埋設 之故,做爲傳導構件,使用除熱傳導率良好之金靥材料例 本紙張尺度適用中國國家揉準(CNS ) A4規格(210X297公釐) ----------^------tT------0 (請先閲讀背面之注意事項再填寫本頁) -12 - 經濟部中央標準局員工消費合作杜印製 A7 _B7_ 五、發明説明(l〇 ) 如鋁或其他、多結晶矽、BN(氮化硼)等,不會直接暴露於 電漿,經由電漿傳導構件被加以濺射而污染處理室內。更 且,此時,經由使用具接近石英熱膨脹率的金靥,例如科 瓦鐵鈷鎳合金等,絕緣構件和傳導構件則幾乎成爲一體產 生膨脹•收縮,可防止熱衝擊。 第2之發明中,於絕緣構件內部之俥導構件之背面側 ,即與電極相互之對向面的相反面,形成真空層者爲佳。 由此,傳達至傅導構件的熱則可抑制放熱至背面側,可令 表面側,即絕緣構件之表面側(暴露於處理室內氣氛側)之 溫度有效地提升。 以下,對於本發明之電漿處理裝置之實施形態參照圖 面具體加以說明。然而,於實施形態中,就蝕刻處理裝置 而言以蝕刻裝置爲例說明之。 實施形態1 圖1係顯示本發明之第1發明之第1形態有關之蝕刻裝 置1的構成的說明圖。此蝕刻裝置1之處理室2係於氧化鋁 膜處理之鋁等所成氣密處形成封閉自如的圓筒形狀之處理 容器3內。此處理容器3本身係介由例如接地線4接地。於 處理室2內之底部,設置陶瓷等之絕緣支持板5,於此絕緣 支持板5之之上面載置被處理基板例如直徑8吋之晶圖W, 構成下部電極之略圓柱狀之感應器6則上下自動地設置。 感應器6係經由貫逋絕緣支持板5及處理容器3之底部 的昇降軸7加以支持,此昇降軸7係經由設置於處理容器3 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ----------^------1T------0 (請先閲讀背面之注意事項再填寫本頁) -13 - A7 B7 323387 五、發明説明(11 ) 之外部的驅動馬達8構成上下驅動。因此,經由此驅動馬 達8的動作,感應器6係如圖1中之箭頭A所示,呈上下動自 如。然而,爲確保處理室2之氣密性,感應器6和絕緣支持 板5間則呈包圔昇降軸7之外側地設置氣密構件,例如風箱 9 0 感應器6係例如表面以氧化處理之鋁所構成。又,於 此感應器6內部設置溫度調節手段,例如陶瓷加熱器等之 加熱手段(未圖示),或循環冷媒之冷媒循環路(未圖示), 呈可令感應器6上之被處理基板維持於所定溫度之構成。 通常,有關之溫度係經由溫度感測器(未圖示)、溫度控制 機構(未圚示),自動地加以控制。 又於前述感應器6上,配置吸附保持晶圓W之靜電卡盤 11。此靜電卡盤11係具有例如令導電性之薄膜經由聚醯亞 胺系之樹脂薄膜,由上挾持之構成。由設置於處理容器3 外部的高壓直流電源12,令所定電壓施.加於導電性薄膜時 ,於聚醯亞胺系之樹脂薄膜表面產生電荷》經由此電荷產 生之庫侖力,晶圓W則吸附保持於靜電卡盤11之上面。做 爲保持晶圓W之方法*不限於使用靜電卡盤11之方法,經 由機械性夾,令晶圓W之周緣部按壓於感應器6側,於感應 器6上採用保持晶圚W之方法亦可。 於感應器6上緣,包圍靜電卡盤11,配置石英所成略 環狀之下側絕緣構件13。此下側絕緣構件13之上面係與晶 圓W之表面幾近同一面者,該外周緣部13a係電位差所產生 之其他部分間放電之防止,具有曲率之形狀。又,此下側 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ---------^------iT------^ (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 _ 14 - 經濟部中央樣準局員工消費合作社印製 A7 ___B7 五、發明説明(l2 ) 絕緣構件13係更做爲聚焦環加以工作,一併持有令電漿中 之離子有效地入射於晶圓W的機能。 於感應器6上方,與此感應器6平行對向,設置上部電 極21。此上部電極21係如圖2所示,具有複數段之中空構 造,又至少連接電漿之部分,例如處理室2內之露出部分 係施加髙頻電力充分透過程度之厚度的矽所構成。做爲上 部電極21之材質,使用玻璃狀碳或表面氧化處理之鋁亦可 。更且,此上部電極21之中空部內之隔壁平板,以及與感 應器6之對向面中,令導入之氣體均勻擴散之故,形成多 數之擴散孔22。於此上部電極21的下面,即感應器6之對 向面和靜電卡盤11間之距離,即圖2所示卡盤“係爲得高 蝕刻率設定呈20〜35mm爲佳。 於上部電極21之中央,設置爲導入處理氣體等之氣體 導入口 23。由處理氣體供給源27之蝕刻氣體,例如0^氣 體係介由閥24,25、質置流控制器26,控制爲預先設定之 流量,經由氣體導入口 23,透過擴散孔22,於處理室2內 之感應器6上均勻地加以供給。 更且,於上部電極21之外周部中,配置有略呈環狀之 上側絕緣構件31,亦擔任上部電極21和處理容器3間之絕 緣機能。 上述絕緣構件31係如圖2所示,被覆上部電極21之外 周下端緣,又該外部下端部31a則設定位於較下側絕緣構 件13之外周外側•此上側絕緣構件31之下面係對向於下側 絕緣構件13之上面外周緣部13a·以較上面外周緣部13a爲 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ---------1------tr------^ (請先閲讀背面之注意事項再填寫本頁) -15 - 經濟部中央橾準局貝工消費合作社印製 A7 B7 五、發明説明(13 ) 大之曲率半徑,對下側絕緣構件Γ3之上面外周緣部13a, 形成凹狀灣曲之面。經由如此之構成,於上側絕緣構件31 之下面和下側絕緣構件13之上面緣部13a間形成狹窄氣體 流路。該最狹窄部分之間隔1^係設定呈較間隔G爲窄》然 而,具體而言以Ι^=8ππη±5ιηιη爲佳· 又,上側絕緣構件31之外部下端部31a係於下方形成 凸狀之彎曲之面,如圖2所示,位於保持於靜電卡盤11上 的晶圓W之上面爲低之位置· 然而,於本實施例之形態中,上側絕緣構件31之下面 係較下側絕緣構件13之上面外周緣部13a爲大的曲率半徑 ,對下側絕緣構件13之上面外周緣部13a而言,形成凸狀 之彎曲之面,但是如圖3所示,上側絕緣構件31之下面和 下側絕緣構件13之上面外周緣部13a則呈平行加以設定。 如此之時,上側絕緣構件31之下面和下側絕緣構件13間之 流路之氣體電導則增大,可容易令於上部電極21和感應器 6間所產生電漿密度更高,令蝕刻率可再加以提升。 於處理容器3之下部,連接有例如通過真空泵等之真 空抽出手段41的排氣管42。於感測器6之周圍中,障板43 則配置呈環狀。由此,於處理室2內可真空抽取至lOmTorr 〜lOOmTorr內之任意真空度•然而,此障板43係可以適切 之絕緣體、例如石英等所成支持材(未圖示)等所支持。又 .,於處理容器3之側壁中,設置檢出處理室2內之壓力的壓 力感測器70,根據壓力感測器70之信號,真空抽取手段41 之動作則被加以控制。經由此構成,例如可令處理室2內 本紙張尺度適用中國國家標準(CNS〉A4規格(210X297公釐) ----------^------、訂------0 (請先閲讀背面之注意事項再填寫本頁) * 16 - 經濟部中央標準局員工消費合作社印裝 A7 B7 五、發明説明(14 ) 維持於所定壓力值。 對於此蝕刻裝置1之高頻電力的供給系統,對下部電 極所成感測器6而言,爲令電漿中之離子靠近,於頻率不 超過1MHz之範圍,呈例如由輸出800kHz之高頻波電力的高 頻電源44的電力,介由整合器45加以供給的構成·對於上 部電極21,介由整合器46,可產生電漿,呈令頻率較高頻 電源44髙之1MHz以上之頻率,例如27. 12MHz之高頻電力加 以輸出的髙頻電源4 7所生之電力被加以供給的構成。 於處理容器3之側部,介由閘閥51,鄰接输入鎖定室 5 2加以配置。於此输入鎖定室52內,令處理基板之晶圓於 處理容器3內之處理室2間加以搬送之故,設置搬送臂等之 搬送手段53。 有關實施形態1之蝕刻裝置1之主要部分,係如以上加 以構成。接著,例如對矽之晶圓W之氧化膜(Si 〇2)處理蝕 刻時的處理方法等而言加以說明。然而,此等之工程係經 由預定之程式加以執行。 又,輸入鎖定室52和處理室2之壓力爲同一,或輸入 鎖定室52內之壓力較處理室2內之壓力預定程度爲高後, 閘閥51則開放,經由搬送手段53晶圓W則搬入處理室2內。 此時經由驅動馬達8之動作,感測器6則下降,呈接受晶圓 W之待命狀態。然後經由搬送手段53晶圓被載置於靜電卡 盤11上後,搬送手段5 3則退避,封閉閘閥51,經由驅動馬 達8之動作,感測器6係上昇至所定位置。 接著,處理室2內則經由真空抽取手段41加以減壓, i紙張尺度適用中國國家標李(CNS ) A4規格(2Η)Χ297公釐) ' -17 - ----------餐------,订------^ (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印裝 A7 __B7 五、發明説明(15 ) 壓力感測器70檢出呈所定壓力值後,由處理氣體供給源2 7 供給CF4,處理室2之壓力則根據預定之步驟,設定呈10 mTorr加以維持。然而,此壓力感測器70係設置於面對後 述之狹窄流路的處理室內亦可* 其後,對上部電極21而言,高頻電源47之頻率爲供給 2 7. 12MHz之髙頻電力時,於上部電極21和感測器6間生成 電漿。又,由此僅有些微的延遲(1秒以下之時間延遲), 對感測器6而言,髙頻電源44之頻率則供給80 0kHz之髙頻 電力。如此地對感測器6而言,經由延遲時間供給高頻電 力,可防止經由過大的電壓令晶圓受到損傷。 然後經由產生之電漿,處理室內之CF4氣體分子則被 解離,其中所產生氟基原子則經由供予感測器6側之偏壓 電壓( 80 0kHz),該入射速度則被控制,蝕刻晶圓W表面之 氧化矽膜(Si〇2)。 • 於此步驟中,如前所述構成一方之電極的感測器6中 ,令包圍晶圓W地配置下側絕緣構件13,又,另一方上部 電極21之周圍中亦設有上側絕緣構件31,於兩者間形成較 電極之間隔G爲窄之流路之故,抑制由在於感測器6和上部 電極21間產生之電漿的晶圓W上面向外側之擴散,電極間 之電漿密度則會變高。當然處理室內之壓力則爲lOmTorr 之髙真空度時,亦可令電漿之擴散有效地加以抑制。因此 ,於64MDRAM之髙集積度之半導體裝置之蝕刻處理亦可處 理。換言之,於減壓下可呈蝕刻率的髙速化·而且,下側 絕緣構件13係一併具有聚焦環機能之故,腐蝕離子之氟基 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ---------1------ίτ>------^ (請先閱讀背面之注意事項再填寫本頁) -18 - 經濟部中央標準局員工消費合作社印製 A7 __B7五、發明説明(I6 ) 則有效率地入射晶圓W,晶圓W表面之氧化矽膜(Si〇2)之触 刻率則變得更高· 如上所述,有關以往技術之蝕刻裝置中,伴隨電漿之 擴散的處理容器內壁之濺得射等雖會呈問題,但是本發明 有關之蝕刻裝置1中,上側絕緣構件3 1之外側端部3 1 a則位 於下側絕緣構件13之外側,而且位於較晶圓W之上面爲低 之位置之故,於上部電極21和感測器6間產生之電漿係不 會直接向處理容器3之內側壁擴散•因此,處理容器3之內 側壁則不會被濺射。 又,於本實施之形態中,上側絕緣構件31之下面,係 形成無段差之彎曲面之故,於排氣之時,可抑制各種反應 生成物附著於下面,由此點可達防止處理室2內之污染。 因此,可提升對晶圓W蝕刻步驟時之產率》 然而,本實施之形態中,對於上側絕緣構件31之下面 和下側絕緣構件13之上面外周緣部13a間之最窄間隔L1, 該徑方向之長,即向對向之上面外周緣部13a的長度愈長 時,經由上側絕緣構件31之下面和下側絕緣構件13形成之 狹窄流路的電導則變大,電漿之封閉效果則會變大•因此 ,上部電極21和感測器6間之電漿密度亦高,提升蝕刻率 。但是,電導過大時,處理室2內之對向電極間之區域, 和上側絕緣構件31之外側區域之壓力差會變大之故,並不 喜好。 如此地,考量電導之平衡時,上側絕緣構件31之下面 和下側絕緣構件13之上面外周緣部13a間之最窄間隔“的 本紙張尺度適用中國國家標準(CNS )八4规格(210X297公釐) ----------參------ΐτ------^ (請先閱讀背面之注意事項再填寫本頁) -19 - 土 率 Γ 刻 , 蝕 率小 刻最 蝕和 均率 平刻 內蝕 面大 示最 顯之 係值 值均 數平 的對 率示 刻顯 蝕係 之值 中數 表之 而後 然以 A7 B7 五、發明説明(17 ) 徑方向之長係如本實施之形態,令8吋晶圓W做爲處理對象 之時,設定3mm〜20mm程度之長度爲適切者。即,如圚3所 示所說明,令L設定於3mm〜20mm之間。 又,本實施之形態的電漿密度係Ar電漿呈5X1011〜1 X10ions/cm3,較以往裝置之電漿密度約可提升約50%。 接著,使用有關實施形態之蝕刻裝置1,調査實際蝕 刻時上側絕緣構件31之下面和下側絕緣構件13之上面外周 緣部13a間之最窄間隔1^和蝕刻率的關係。其結果如下述 表1所示·然而*做爲蝕刻氣髖,以C4F8/C0/Ar/O2 = 10/30 /255/6(單位爲SCCM)之比例流入,髙頻電源47之能量係2 kW,處理室2內之內壓係45mTorr,電極間間隔Gi係呈25mm 的差之不穗定度的百分率者。 表1 I 裝 I I I ~~訂 I線 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作杜印製 L1( mm ) 蝕刻率(A/min,土(¾)) 16 4977 ± 6. 3 1 8 5373± 5. 4 由表1得知,LilSmm時,較Ι^ = 16πηη時蝕刻率爲髙,而 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) -20 - 經濟部中央標準局員工消費合作社印策 A 7 __B7 五、發明説明(l8 ) 且均勻性亦良好β 接著,調査固定Li=8 mm’令間隔之徑方向長度^變 化時之蝕刻率和電導之關係。其結果如表2所示。 表2 Μ 1(mm) 蝕刻率(A/min,土(¾)) 電導(1/s) 25 5373 ± 5· 4 312 10 5433 ± 6. 8 468 3 5441±6.0 625 如表2所知,於1 = 301111時蝕刻率最高,又於電導M1=3 mm時爲最良好者。更且,令電極間間隔設定呈15mm,蝕刻 率則更加提升,得5967(埃)±5. 8(¾)之高值。 又,更且令電漿連接於處理室2內壁的面稹的減少時 ,相互作用者少*可減少處理室內壁之外氣量。此作用係 可減少室清潔用溶劑之殘液或室附著物之外氣量,可得電 漿安定化之效果。爲得此等對策,電極間之間隔係以20mm 〜35mm爲佳* 然而,使用此種電漿之蝕刻裝置中,將蝕刻之終點時 期,令電漿之明度等由外部觀察加以檢出者於以往加以進 本紙張尺度適用中國國家標準(CNS > A4规格(210X297公釐) ----------^------1T_------0 (請先閲讀背面之注意事項再填寫本頁) -21 - 經濟部中央樣準局貝工消費合作社印製 A7 __B7_ 五、發明説明(19 ) 行。令此技術適用於有關本實施之形態的蝕刻裝置1時, 如圖4所示,於處理容器3,安裝以例如石英等所構成之透 過窗3 a等,使用設於外部適切之檢出感測器(未圇示),監 視上部電極21和感測器6間之電漿。因此,於爲實施以往 方式之終點檢出方法時,如圖4及圖5所示,於例如上側絕 緣構件31之一部分,以透明之石英等構成電漿監視用之窗 31b,透過窗31b監視電漿即可。 又,代替形成窗31b,如圖6及圖7所示,於上側絕緣 構件31之下面,形成監視電漿之缺口部31c,透過此缺口 部31c,經由監視透過窗3a之電漿發光等,令以往之蝕刻 之終點判定裝置直接加以使用β 於上部實施之形態中,上側絕緣構件31之下面形狀係 如所述,以較下側絕緣構件1 3之上面周緣部1 3a爲大的曲 率半徑,對下側絕緣構件13之上面外周緣部13a而言,呈 凹狀地形成彎曲之面,又上側絕緣構件31之外側下端部的 位置雖亦設定較晶圓W上面爲低位置,但非限定於此,設 定於例如如圖8所示之形狀者爲佳。 示於圖8之例係令設於上部電極21之外周部上側絕緣 構件61之下面形成於平坦之斜面,更且令此上側絕緣構件 61之外側下端部61 a之高度設定較晶圓W之上面高約5 mm以 內之位置者。即,令圖8之N1設定於約5mm以下者•經由此 例,可有效抑制產生於上部電極21和感測器6間的電漿擴 散,而且,可抑制處理容器3內側壁被電漿直接濺射。 然而,此時,上側絕緣構件61之外部下端部61 a則較 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ---------^------ΐτ------^ (請先閱讀背面之注意事項再填寫本頁) -22 - 經濟部中央標準局貝工消費合作社印裝 A7 _B7 _. 五、發明説明(20 ) 晶圓w之上面爲髙之故,蝕刻處理之終點的檢點係如前述 圖4等所示,經由直接於處理容器3之側壁形成透過窗3a, 可透過透過窗進行監視電漿。 更且,如圖9所示,令設於上部電極21之外周部的上 側絕緣構件,做爲具有筒狀之形狀的上側絕緣構件81亦可 。此上側絕緣構件81之外部端部81a係同時構成上側絕緣 構件81之下面,外部端部81 a係設定於較晶圓W之上面爲低 之位置。又,此外部端部81 a之徑方向長度,即圖9之》!2係 電漿密度之高度,和於處理室內之上側絕緣構件81爲界, 考量壓力差之容許範圍時,還是設定呈8mm ± 5mm之長度時 ,平衡較佳。 然而,圖9所示之例中,於感測器6之外周介由適宜之 絕緣構件62,更配置接地電極63。經由1配置如此之接地 電極63,可容易於上部電極21和感測器6之間取得生成之 電漿匹配,可令電漿更安定。如此接地電極係對於前之實 施形態的圖2〜圖4、圖6、圇8所示加以構成者亦可適用。 又,此上側絕緣構件81之外部端部81a係設定於較晶 圓W上面爲低之位置之故*監視電漿狀態,以該發光等判 斷蝕刻終點時,如前述圖4〜圖7所示,於上側絕緣構件81 之一部分,形成石英等之電漿監視用之窗,或於下面形成 電漿監視用之缺口部即可。 然而,上述實施之形態係對被處理基板爲半導體晶圓 W時加以說明,實施形態1係可適用例如LCD基板呈處理對 象時之裝置構成。又,上述實施形態係雖做爲將蝕刻半導 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐> ----------1------1T------^ (請先閱讀背面之注意事項再填寫本頁) -23 - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(21 ) 體晶圓表面之矽氧化膜(Si〇2)的步驟加以實施之裝置構成 ,但不限於此,實施形態1係可適用於各種絕緣膜,例如 可適用實施矽氮化膜(SiN)、TEOS氧化膜、BPSG膜之蝕刻 步驟的裝置。更且,實施形態1係亦可適用成膜處理之電 漿CVD裝置或濺射裝置等之其他電漿處理裝置· 根據實施形態1,產生於上部電極和下部電極間之電 漿係於真空度高氣氛時,可有效地抑制該擴散,得高之電 漿密度。因此,可達成例如對應64MDRAM之蝕刻的連接孔 的形成,可施以髙微細蝕刻的處理。而且,令處理室內側 壁無需濺射,抑制污染之產生,而可達產率之提升。 又,於上側絕緣構件之一部份,形成電漿監視用之窗 ,於上側絕緣構件之下面,經由形成電漿監視用之缺口部 ,透過此等窗或缺口部,可由外部監視電漿狀態,由此可 令例如蝕刻處理的終點容易且精度佳地加以檢出。 又,令上側絕緣構件之下面呈連續面地,防止反應生 成物的附著,可更防止處理室內之污染,可令維護之間隔 加長。 更且,經由於下部電極之外部周圍設置接地電極,可 維持安定之電漿狀態,提升處理之均勻化,而達產率的提 升。 眚施形態2 圖10係顯示本發明之第1發明之第2形態有關之蝕刻裝 置1的構成的說明圖。然而圖10中,對於圖1和同一之部分 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ---------1------1T------^ (請先閱讀背面之注意事項再填寫本頁) -24 - 經濟部中央標準局員工消费合作社印製 323387 A7 B7 五、發明説明(22 ) ,附上與圖1同樣符號,省略詳細加以說明。 於感測器6上之周邊,包圍靜電卡盤11地,構成第1之 環狀體,設置略呈環狀內側聚焦環61。此內側聚焦環61係 以導電性之矽加以構成·於內側聚焦環61之內周側和外周 側之上面,如圖11所示,各下降一段形成段部61a,61b, ,內周側之段部61a之上面係設定呈與靜電卡盤11之上面 同一面,此段部61 a之上面中,載置保持於靜電卡盤11之 晶圓W之周緣部下面。此內側聚焦環61係具有令電漿中之 離子有效地入射於晶圓W的機能* 內側聚焦環61之外周中,設有略呈環狀之外側聚焦環 62。此外側聚焦環62係以絕緣性之石英加以構成。外側聚 焦環62之內周部62係如圚1 1所示,設置呈載置於內側聚焦 環61之段部61b之上*因此,內側聚焦環61之外周邊和外 側聚焦環62之內周邊係於各段部61b和內周部62 a之部分重 合。然而,內側聚焦環61之中央部61c,和此外側聚焦環 62之上面係設定於同一面•又,外側聚焦環62之1外周上 緣部62b係於外側形成於凸狀之彎曲形狀,氣髖不會被沈 澱圓滑地被排出。,此外側聚焦環62係與後述之屏蔽環73 一同具有防止電漿擴藪之機能。 於感測器6之周圍,如圖11所示介由以石英構成之絕 緣環63、氟系樹脂所構成之絕緣環64,配置絕緣性之障板 43,更且此障板43之內周部係對以石英構成之支持體65, 經由螺栓等之手段加以固定。因此,伴鼸感測器6之上下 動,此障板43亦呈上下動之構成·此障板43中,形成多數 本紙張尺度適用中國國家橾準(CNS ) A4規格(210X297公釐) ----------^------1T------^ (請先聞讀背面之注意事項再填寫本頁) -25 - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(23 ) 之透孔4 3a,具有將氣體均匀排出之機能。 於處理室2內之上部中,處理容器3係介由絕緣構件71 ,設有將蝕刻氣體或其他之氣體導入處理室2內之擴散構 件72。此擴散構件72係如圖11所示,具有令平板部72a平 行於上下複段加以配置之中空構造,更且各平板部72a中 ,形成多數之擴藪孔72b·此擴散構件72之中央中設有氣 體導入口 23,,更且介由閥24* 25、爲流量調節之稹量流 控制器26,處理氣體供給源27之蝕刻絛體例如CF4氣體則 透過導入口 23、擴散構件72之擴散孔72b,導入處理室2內 〇 於擴散構件72之下方,與感測器6對向地,上部電極 2 1則經由絕緣構件7 1加以支持。此上部電極2 1係以導電性 之矽加以構成•又上部電極21係具有經由擴散構件72,將 導入之氣體,對感測器6上之晶圓W均勻噴出之多數噴出口 22。以構成上側絕緣構件之石英構成之屏蔽環73係該上側 內周固定於絕緣構件71地,配置於上部電極21之周邊。 此屏蔽環73之中,環狀之突出部73a則形成於靠內周 之下面,突出部73a之內周緣73b係對應內側聚焦環61之外 周邊和外側聚焦環62之內周邊重合之部分的位置,即設定 位於內側聚焦環61之段部61b,和外側聚焦環62之內周部 6 2 a重合之部分的上方。此突出部7 3b之下面和外側聚焦環 62之內周部62a間之距離係設定呈較感測器6上面、即靜電 卡盤11之上面,和上部電極21之下面間之間隔爲短。然而 ,於此屏蔽環73中各角部係所有於外側凸狀地形成呈彎曲 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ----------^------、订------0 (請先閲讀背面之注意事項再填寫本頁) -26 - 經濟部中央樣準局員工消費合作杜印製 A7 B7 五、發明説明(24 ) 之形狀,氣體不會被沈澱圓滑地加以排出。 有關實施形態2之蝕刻裝置1之主要部分,係如以上加 以構成。 接著,例如對矽之晶圓W之氧化膜(Si 〇2)處理蝕刻時 的處理方法等而言加以說明。然而,此等之工程係經由預 定之程式加以執行。 又,閘閥51被開放後,經由搬送手段53晶圓W則搬入 處理室2內•此時經由驅動馬達8之動作,感測器6則下降 ,呈接受晶圖W之待命狀態。經由搬送手段53晶圓被載置 於靜電卡盤11上後,搬送手段53則退避,封閉閘閥51,經 由驅動馬達8之動作,感測器6係上昇至所定位置。接著, 處理室2內則經由真空抽取手段41加以減壓,呈所定壓力 度後,由處理氣體供給源27供給CF4,處理室2之壓力則設 定呈lOmTorr加以維持。 其後,對上部電極21而言,髙頻電源47之頻率爲供給 2 7. 12MHz之高頻電力時,於上部電極21和感測器6間生成 電漿•又,由此僅有些微的延遲(1秒以下之時間延遲), 對感測器6而言,高頻電源44之頻率則供給800kHz之高頻 電力。如此地對感測器6而言,經由延遲時間供給高頻電 力,可防止經由過大的電壓令晶圓受到損傷。 然後經由產生之電漿,處理室內之CF4氣體則被解離 ,此時所產生氟基原子則經由供予感測器6側之偏壓電壓 ,該入射速度則被控制,蝕刻晶圆W表面之氧化矽膜(Si〇2 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) I I n ^ H 11 n n I ^ (請先閲讀背面之注意事項再填寫本頁) -27 - 經濟部中央標準局員工消費合作社印裝 A7 B7 五、發明説明(25 ) 此時感測器6中,包圍晶圓flf地,於配置內側聚焦環61 之外周設置外側聚焦環62,於外側聚焦環62之上方,於上 部電極21之周邊配置之屏蔽環72之突出部73 a被定位,於 兩者間構成較靜電卡盤11之上面和上部電極21之下面間爲 短的間隔之故,抑制由在於感測器6和上部電極21間產生 之電漿的之擴散,電漿密度則會變髙。處理室內之壓力則 呈lOmTorr之髙真空度時,亦可令電漿之擴散有效地加以 抑制。因此,於64MDR AM之髙集稹度之半導體裝置之蝕刻 處理亦可處理•蝕刻率亦可呈高者。又,於晶圓W之周圍 ,配置內側聚焦環61之故,爲腐蝕離子之氟基係可有效地 入射於晶圓W,晶圓W表面之氧化矽膜(Si〇2)之蝕刻率則變 得更髙。具體而言,根據具有本構成之蝕刻裝置中,矽氧 化膜之選擇比較以往提升20〜30%。又,矽氧化膜之縱橫 比亦爲50%之程度。 於封閉電漿之突出部7 3a的內周附近,有使電漿密度 變高之傾向,突出部73a之內周緣73b係於對應內側聚焦環 61之外周邊和外側聚焦環62之內周邊重合部分的位置,即 位於內側聚焦環61之段部61b,和外側聚焦環62之內周部 62a重合部分之上方。然後此重合之部分係於導電體之內 側聚焦環61上,呈重S薄的絕緣體之外側聚焦環62的構成 之故,偏壓則呈多少超出之條件,爲此重合部分之電漿係 較晶圓W之中心部更爲稀薄•因此,突出部7 3a之內周附近 之電漿密度係維持呈與中心部沒有什麽差的密度,其結果 ,晶圓W之周邊部電漿密度係與中心部呈沒有什麼差別的 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 私衣I m 、訂'^ (請先閲讀背面之注意事項再填寫本頁) -28 - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(26 ) 密度。而且,對晶圓W之蝕刻的均勻性則良好。 使用蝕刻之CF4氣體係由屏蔽環73和外側聚焦環62之 間,透過障板43,由排氣管42向處理室2外部排出,但如 前述抑制電漿擴散之故,屏蔽環73之下面中,形成突出部 7 3a,經此流路則會更狹•但是,因此氣體電導雖會下降 ,本實施形態中,突出部73a之外周緣73c則對外側聚焦環 62之外周緣而言,大幅位於內側,突出部73a之厚度係設 定呈極薄者。爲此,結果屏蔽環7 3和外側聚焦環62間之氣 體電導則不會有太多下降,實現圓滑之氣體的排氣。因此 ,較以往之此種蝕刻裝置,無需特別提升蝕刻氣體之流量 〇 接著,本發明人使用上述蝕刻裝置1,實際地根據蝕 刻時之資料,對突出部73a之長度和蝕刻率之關係加以說 明。如圖12所示,令晶圓W上面和上部電極21下面之間隔 G2設定呈15mm,改變外側聚焦環62上面和突出部73a下面 之最小間隔MG2,蝕刻8吋晶圓W的結果,得下述第3表之結 果。而此時之蝕刻條件爲如下所言。供予上部電極21之高 頻電力係以頻率27. 12MHz爲2kW,供予感測器6之高頻電力 係以頻率80 0kHz,Vpp(電漿電壓)爲1. 5kV,又蝕刻氣體係 令 C4Fe/CO/Ar/〇2 = 15/15/2 之混合氣體,以 55/6(SCCM)之 流量加以供給· 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) ---------^-----—ίτ_------0 (請先閱讀背面之注意事項再填寫本頁) -29 - 323387 A7 B7 五、發明説明(27 ) 表3 G 2 15 15 15 mg2 10 8 6 蝕刻率(A/min) 6863 7336 7478 由表3可知,最小間隔MG2則愈小蝕刻率則愈高。但是 ,最小間隔MG2較6mm爲小時,此時可獲知蝕刻之均勻性則 大爲下降。因此,亦考量蝕刻之均勻性,最小間隔MG2之 較佳範圔係6〜10 mm,尤其以8mm前後者在實際上爲佳。 接著,對於突出部73a和氣體電導之關係加以說明。 如圖12所示,令突出部73a之厚度爲D2、由突出部73a之內 周至外側聚焦環62之外周的長度爲U時,令晶圓W上面和 上部電極21下面之間隔Gz爲25mm、最小間隔MG2 = 8mm、L2 = 25πηη,將處理室2之內壓設定於45mTorr時,電導係〇2 = 10mm時爲468(l/s),D2 = 3mm時呈625(l/s)e如本實施例未 形成突出部73a,如日本特開昭62-69620號公報所示,下 面經由平坦之絕緣構件形成電漿封閉用之狹窄間隔時,假 設該徑方向之長度(相當於〇2)呈25 mm時,此時氣體電導爲 312。因此,抑制電漿擴散時,如本實施例之形態,於屏 蔽環73形成D 2之短突出部73a,經由此突出部73a,封閉電 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ----------1------1T------^ (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印裝 -30 - 經濟部中央標準局員工消費合作社印裝 A7 _B7 __ 五、發明説明(28 ) 漿者則電導爲良好。 然而,實施例之屏蔽環73之突出部73a係該內外側垂 直成形之形狀,代替此使用具有例如圖13所示之形狀的突 出部74的屏蔽環75亦可。此突出部74係該內周側則呈垂直 加以成形,外周側係形成呈推拔狀。根據此屏蔽環75’較 屏蔽環73時不會於突出側74之外側沈澱氣體,難以附著反 應生成物等· 更且,對於外側屏蔽環,如實施之形態不令該外周垂 直成形,如圖13所示,使用成形呈推拔狀之外側屏蔽環76 亦可。此時,較上述實施情形,障板43間所成角度呈鈍角 之故,難以令氣體沈澱,難以附著反應生成物等。因此, 使用圖13所示屏蔽環75或外側屏蔽環76時,可防止處理室 2內之污染。 然而,於實施形態2中,雖對於實施蝕刻矽之半導體 晶圓表面之矽氧化膜(Si〇2)的步驟的裝置加以說明,但不 限於此,實施形態2係可適用於各種絕緣膜,例如可適用 實施矽氮化膜(SiN)、TEOS氧化膜、BPSG膜之蝕刻步驟的 裝置。更且,實施形態2係亦可適用成膜處理之電漿CVD裝 置或濺射裝置等之其他電漿處理裝置· 根據實施形態2,令被處理基板之周邊部電漿密度, 無需較中心部爲高,可防止電漿之擴散。因此,於高電漿 密度下,以微細且均勻高比率,可令蝕刻施於被處理基板 〇 尤其*令形成上側絕緣構件之最狹窄間隔部分之內周 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ---------^------ΐτ------^ (請先閲讀背面之注意事項再填寫本頁) -31 - 經濟部中央橾隼局員工消費合作社印製 A7 B7 五、發明説明(29 ) 緣和外周緣,經由設定對應於第2之環狀體之內周緣和外 周緣間的位置,如此地達防止電漿之擴散,且電導良好, 可無需抑制蝕刻氣體等之處理氣體流量,令電漿有效率地 加以封閉。 又,重合第1之環狀體之外側周邊部和第2之環狀體之 內側周邊部,形成上側絕緣構件之最狹窄間隔的部分之外 周緣則經由設定對應於第2環狀體之內周緣和外周緣間的 位置,於更接近被處理基板處,以達電漿擴散之防止之故 ,更且以髙電漿密度下*對被處理基板可進行蝕刻。 窗施形態3 圖14係顯示本發明之第2發明有關之蝕刻裝置1的構成 的說明圖。然而圖14中,對於圖1和同一之部分,附上與 圖1同樣符號,省略詳細說明· 於感測器6上之周邊,包圍靜電卡盤11地,設置略呈 環狀內側聚焦環61·此內側聚焦環61係以具導電性之單結 晶矽加以構成•於內側聚焦環61之內周側和外周側之上面 ,如圖15所示,各下降一段形成段部61a,61b,內周側之 段部61a之上面係設定呈與靜電卡盤11之上面同一面,此 段部61a之上面中,載置保持於靜電卡盤11之晶圓W之周緣 部下面*此內側聚焦環61係具有令晶圓W周邊(接近端之部 分)之蝕刻率的均勻性提升之機能。 內側聚焦環61之外周中,設有略呈環狀之外側聚焦環 62。此外側聚焦環62係以絕緣性之石英加以構成。外側聚 本紙張尺度適用中國國家橾準(CNS ) A4規格(210x297公釐) ---------^-- (請先閲讀背面之注意事項再填寫本頁) 訂 -32 - 經濟部中央標準局員工消費合作社印袋 A7 B7 五、發明説明(3〇 ) 焦環62之內周部62 a係設置呈載置於內側聚焦環61之段部 61b之上。因此,內側聚焦環61之外周邊和外側聚焦環62 之內周邊係於各段部61b和內周部62a之部分重合。然而, 外側聚焦環62之外周上緣部62b係於外側形成於凸狀之彎 曲形狀,氣體不會被沈澱圓滑地被排出。此外側聚焦環62 係與後述之屏蔽環93—同,具有防止電漿擴散之機能。 於感測器6之周圍,如圖15所示介由以石英構成之絕 緣環63、氟系樹脂所構成之絕緣環64,配置具有導電性之 材質(例如防蝕鋁)之障板43,更且此障板43之內周部係對 於石英構成之支持體65,經由螺栓等之手段加以固定。因 此,伴隨感測器6之上下動,此障板43亦呈上下動之構成 。此障板43中,形成多數之透孔43a,具有將氣體均勻排 出之機能。 處理室2之上部中,介由以氧化鋁構成之絕緣支持材 81、以鋁構成之環狀冷卻板82,設置令蝕刻氣體或其他之 氣體導入處理室2內的擴散構件83·此冷卻板82之上部中 具有形成有冷媒循環路8 2a,經由由外部供給之冷媒的循 環,令後述上部電21冷卻至所定溫度之機能· 擴散構件83係如圖15所示,令障板84於所定間隔具有 上下複數段之中空構造,更且於障板84中,形成多數之擴 散孔84a。此擴散構件83之中央,設置氣體導入管23,更 且介由閥24、25、流量調節之質量流26*由處理氣體供給 源27的蝕刻氣體,例如CF4氣體則透過導入口管23、擴散 構件83之障板84之擴散孔84a,呈導入處理室2內。 本紙張尺度適用中國國家橾準(CNS ) A4規格(210X297公釐) I 裝 I 訂 — ^ (請先閱讀背面之注意事項再填寫本頁) -33 - 經濟部中央標準局貝工消費合作社印聚 A7 __B7_ 五、發明説明(31 ) 於擴散構件83之下方中,更設置以鋁構成之冷卻板90 ,於此冷卻板90之下面側,對向於感測器6,上部電極21 則經由冷卻板82加以支持。此上部電極21係以具有導電性 之單結晶矽所構成,經由螺栓92固定於冷卻板90,82加以 導通•又,此上部電極21及冷卻板9 0係將經由擴散構件83 導入之氣體,對感測器6上之晶圓W均勻噴出之故,各具有 多數之噴出口 90a、91a· 上部電極21之下端周邊部中,包圍螺栓92地,配置以 石英所構成之屏蔽環93。此屏蔽環93係由與環狀之水平部 93a、及水平部93 a呈直角之垂直部93b所成,此垂直部 9 3b係於絕緣支持構件81,經由例如螺栓等加以固定安裝 。於此屏蔽環93之內部,呈與屏蔽環93略相似之形,氣密 性埋設經由鋁製之薄板所構成的水平部94a和垂直部9 4b所 成農_僂1浮94。然而,屏蔽環93之上端部和處理容器3之 頂壁間中設置氟系合成樹脂所成絕緣環95。又,於此構成 中,由高頻電源47之電力係透過冷卻板82加以供給。 有關實施形態3之蝕刻裝置1之主要部分,係如以上加 以構成。 接著,例如對矽之晶圓W之氧化膜(Si〇2)處理蝕刻時 的處理方法等而言加以說明•然而,此等之工程係經由預 定之程式加以執行。 又,閘閥51被開放後,經由搬送手段53晶圓W則搬入 處理室2內》此時經由驅動馬達8之動作,感測器6則下降 ,呈接受晶圓W之待命狀態。經由搬送手段53晶圓被載置 本纸張尺度適用中國國家標準(CNS > A4規格(210X297公釐) ! 裝 —訂 II 線 (請先閲讀背面之注意事項再填寫本頁) -34 - 經濟部中央標準局貝工消费合作社印製 A7 B7 五、發明説明(32 ) 於靜電卡盤11上後,搬送手段5 3則退避,封閉閘閥51,又 經由驅動馬達8之動作*感測器6係上昇至所定位置•接著 ,處理室2內則經由真空抽取手段41加以減壓,呈所定壓 力度後,由處理氣體供給源27供給CF4,處理室2之壓力則 設定呈lOmTorr加以維持· 其後,對上部電極21而言,髙頻電源47之頻率爲供給 27. 12MHz之高頻電力時,於上部電極21和感測器6間生成 電漿。又,由此僅有些微的延遲(1秒以下之時間延遲), 對感測器6而言,髙頻電源44之頻率則供給800kHz之高頻 電力。如此地對感測器6而言,經由延遲時間供給高頻電 力,可防止經由過大的電壓令晶固受到損傷· 然後經由產生之電漿,處理室內之CF4氣體則被解離 ,此時所產生氟基原子則經由供予感測器6側之偏壓電壓 ,該入射速度則被控制,蝕刻晶圓W表面之氧化矽膜(Si 〇2 )。 此時感測器6中,包圍晶圓W地,於配置內側聚焦環61 之外周設置外側聚焦環62,於外側聚焦環62之上方,於上 部電極21之周邊配置之屏蔽環93被定位,於兩者間構成較 靜電卡盤11之上面和上部電極21之下面間爲短的間隔之故 ,抑制由在於感測器6和上部電極21間產生之電漿的之擴 散,電漿密度則會變髙。處理室內之壓力則呈lOmTorr之 髙真空度時,亦可令電漿之擴散有效地加以抑制。於晶圓 W之周圍,配置內側聚焦環61之故,爲腐蝕離子之氟基係 可有效地入射於晶圓W,晶圓W表面之氧化矽膜(Si〇2)之蝕 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ---------^-----|,订~------^ (請先閱讀背面之注意事項再填寫本頁) -35 - 經濟部中央標準局員工消費合作社印製 A7 ____B7_ 五、發明説明(33 ) 刻率則變得更高。 如此之蝕刻處理時,於處理室2內,令矽氧化膜(Si 〇2 )做爲使用例如CF 4,CHF 3等加以蝕刻時之反應生成物,例 如氐產生碳系之物質,碳系之物質則易於附著於抑制電漿 擴散之屏蔽環93之靠外側部分之表面。但是,本實施形態 中,如所述,於屏蔽環93內部設置傳導構件94之故,可防 止如此之反應生成物的附著•更詳細而言,對應上部電極 21和重叠之部分(重合之部分)的屏蔽環93之下面側表面( 圖15中之L3所示之部分)係經由離子之入射脱呈高溫。 此時之熱係傳達至設於屏蔽環93內之暴導構件a4 »傳 f構件94本身係熱傢導率息良好之故,不僅於對應屏蔽環 9 3 L3所示之部分,整體則馬上呈髙溫(呈均熱狀態)。其 結果,接近屏蔽環94之傳導構件94的部分亦經由熱加以加 熱。即,不僅於圖15中之“所示部分,水平部93a之下面 側整面及垂直部93b之外側表面亦呈高溫。而且易於附著 屏蔽環93之反應生成物的區域則呈高溫,制反:瘧生成物 之附著。又,擔負有關作用效果之傳導構件94係於屏蔽環 93內氣密地加以埋設之故,傳導構件9 4本身係不會直接曝 露於電漿,亦不會污染處理室2內。 即,圖16所示構成係於傳導構件94之背面側,即與感 測器6呈對向面之屏蔽環93下面側相反側,形成真空層96 者。經由有關構成,.僂.犛—構名-14爾-抱_^之熱_係.麗虚^^® QA V抑_制傳建至虽-蔽一環13之」1-面瀾,_0 __領,因此可有效地 傳達至屏蔽環93之下面側表面,外側表面。然而於真空層 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ----------1-----J-IT------^ (請先閲讀背面之注意事項再填寫本頁) -36 - 經濟部中央橾準局員工消費合作社印製 ^23387 A7 B7 五、發明説明(34 ) 9 6之背面側9 6a,經由例如施以鏡面處理等之反射處理等 ,傳導構件94則抑制拾取熱之上面側、內側之輻射,更提 升屏蔽環93之下面側表面、外側表面之熱傳導效率。 上述實施之形態中,僅位於上部電極21之周邊部的屏 蔽環93內,取得埋設傳導構件94之構成,如圖17所示,與 此屏蔽環93對向,於位於感測器6之周邊部的盛側聚雋翠 6_ 2之&部,將JS傳導構件θ 4同樣之傳導構件9 7,於外側聚 靡還62之上里側、靠外側氣密地加以埋設亦可。經由此, 令離子入射呈髙溫之圖17中的Μ3所示之區域熱,以傳導構 件97拾取,將此傳達至外側聚焦環62之上面側表面、外側 表面。由此,此等外側聚焦環62之上面側表面、外側表面 係呈高溫,i制艮m槪之跗著。當然有關情形亦與圖 16之情形同樣地,於傳導構件97之背面側形成真空層,於 真空層之背面側,經由施以反射處理,與圖16時同樣地, 提升熱傳導效率。 然而,於上述實施形態中,對令矽之半導體晶圓表面 之矽氧化膜(Si 〇2)加以蝕刻裝置加以說明,並不限於此, 實施形態3係可適用實施其他之蝕刻步驟的裝置·更且, 做爲被處理基板,不限於晶圓,爲LCD基板亦可•又,對 於裝置構成時*於上述實施形態中,雖對於蝕刻裝置加以 說明,但是實施形態3不限於此*可適用其他之電漿處理 裝置,例如除阻劑裝置、濺射裝置、CVD裝置》 根據實施形態3時,1需_另_設热器,IL令對應埋.設 I緣構件內部之傳導構件之區.域之絕緣構件表面呈髙通。 本紙張尺度適用中國國家標準(CNS ) A4規格(210·〆297公釐) ~~ 一 37 _ ----------^-----J1T------0 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A7 __B7 五、發明説明(35 ) 因此,於此區域表面難以附著反應生成物,又可除去附著 之反應生成物。又,不會污染處理室內。而且,不會有使 處理室內之電漿混亂之虞。尤其經由設置真空層,可有效 提升反應生成物易於附著絕緣構件之表面側(曝露於處理 室內氣氛側)。 實施形態4 於以往之電漿處理裝置中,爲與電漿封閉,配置於上 部電極和下部電極之周邊的絕緣構件通常係由石英形成, 該絕緣構件受到電漿時,經由電漿石英則被濺射。因此* 被濺射之石英則於處理容器內呈霧狀,此霧則附著於被處 理基板之表面等,而使被處理基板之產率下降。 更且,絕緣構件之濺射被進行時,於絕緣構件之表面 形成凹凸,水平度之精度則會變差*爲此,產生異常放電 ,使電漿狀態變亂。爲此,會有無法進行安定電漿處理之 問題。又,絕緣構件經由電漿加以濺射時,絕緣構件之壽 命會變短,交換時間及交換次數會增大之故,會有裝置動 作時間下降之問題。 本實施之形態中,提供於電漿經由抑制濺射之絕緣構 件之濺射,可進行安定處理的電漿處理裝置者。即’令被 處理基板配置於處理容器內,於此處理容器產生電漿’處 理被處理基板之電漿處理裝置,於處理容器內設置以石英 構成之構件,於此構件表面較石英對電漿而言’提供施以 具有高耐蝕性的絕緣膜的電漿處理裝置。此時’絕緣膜係 冢紙悵尺度適用中國國家標準(CNS ) A4規格(210X297公嫠) ----------1-----—iT-------^ (請先閲讀背面之注意事項再填寫本頁) -38 - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(36 ) 以氧化鋁系陶瓷所成者爲佳》絕緣膜係經由爆發熔射施於 石英表面者爲佳。 根據本實施之形態,於處理容器內之空間,封閉電漿 ,爲產生高密度電漿配置於處理容器內之石英所成絕緣構 件則由電漿之濺射加以保護。又,令絕緣膜經由爆發熔射 施於絕緣構件之表面,與絕緣構件和絕緣膜所構成之材料 強固地加以接合,提升對電漿之耐蝕性。 圖18係顯示有關實施形態4之蝕刻裝置之構成說明圖 。此蝕刻裝置係以鋁等成形爲園筒狀之室(處理容器)101 ,和對向於此室101內配設之上部電極(第1之電極)102和 下部電極(第2之電極)103爲主地加以構成。 室101之側壁部中,如圖18所示,被處理基板,形成 例如搬入•搬出晶圓If的開口部104,105,於此等各開口 部104,105之各外側中,設置開閉此等各開口部104,105 ,呈可令室ί 01之氣密的閘閥106,107。 於室101內之下部,配設下部電極103,此下部電極 103係令此下部電極103安裝於昇降之昇降裝置10 8上•此 昇降裝置10 8係例如由油壓缸或螺栓和螺絲之螺合結合機 構,和旋轉驅動此機構之伺服馬達之組合機構等所構成· 於此昇降裝置108之周圔和室101之內壁間,設置包圍此昇 降裝置108之外周的風箱109,令產生於室101內的電漿不 會進入下部電極1 0 3之下· 下部電極103係連接阻止施加於上部電極102之高頻成 分之侵入的偏壓過濾器110。此偏壓過濾器110係連接於供 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) 裝 II 訂 線 {請先閲讀背面之注意事項再填寫本頁) -39 - 經濟部中央樣準局員工消費合作杜印製 A7 B7五、發明説明(37 ) 給具有800kHz之頻率電壓的高頻電源1〗1。又,此下部電 極103之上面,設置有假固定晶圓W之靜電卡盤。此靜電卡 盤11 2係具有挾持導電性之薄片狀之電極板11 2a和此電極 板112a之表面的聚醯亞胺層112b。此電極112a係電氣連接 產生爲假保持晶圓W之庫侖力的直流電源113。 於下部電極103之周圍和卡盤101之內壁面間,配設環 狀之障板114。於此障板114中,穿設多數進行由下部電極 10 3之周圍均勻排氣的排氣口 115·於此排氣口之下方,設 有排氣卡盤101內之處理氣體的排氣管116,此排氣管116 係連接於真空泵117。 下部電極10 3上之晶圓W周圍中,經由令晶圓W上之電 漿向晶圓W之外方向擴散,配置有於晶圓W之周緣部形成均 勻電漿的環狀碳化矽(SiC)製聚焦環118。此碳化矽製聚焦 環118之外周中,經由令電漿封閉於晶圔W之上方,嵌合提 髙電漿密度之環狀石英製聚焦環119地加以組合。此聚焦 環119之上面中,設有保護電漿侵蝕由石英之高耐電漿性 構件之氧化鋁系陶瓷層120 · —方面,於卡盤101內之上部,配設對向於下部電極 103之中空構造之上部電極102。此上部電極102中,於卡 盤101內連接供給所定處理氣體之氣體供給管121。又,此 上部電極102之下側部分中,穿設多數之氣體擴散孔122。 又,上部電極102係連接於下部電極103阻止施加髙頻成分 侵入的低通濾波器123。此低通濾波器12 3係連接於高頻電 源124。此高頻電源12 4係較連接於下部電極103之高頻電 ---------^-----|,玎------0 (請先閱讀背面之注意事項再填寫本頁) 本紙掁尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -40 - 經濟部中央樣準局員工消費合作杜印製 ^23387 A7 _B7_ 五、發明説明(38 ) 源111爲高頻,例如具有27. 12MHz之頻率· 上部電極102之周圍中,設有將電漿封閉於晶圓W上 方之環狀石英製屏蔽環125。此屏蔽環125係嵌入上部電極 102之外周部。與此屏蔽環125之電漿接觸之側中,設有對 電漿經由石英具有耐蝕性之氧化鋁系陶瓷層126。設於上 述石英製屏蔽環125和聚焦環119之鋁系陶瓷層120,126係 床對石英構件強固結合,經由爆發熔射加欲形成。 在此對於爆發熔射加以說明•此爆發熔射係如圖19A 所示,使用中空構造之鎗身127»於此鎗身12 7供給燃燒性 高之氣體,例如乙炔氣體和氧氣的同時,供給絕緣膜之材 料,例如氧化鋁系陶瓷之粉末材料。於此鎗身127內,將 乙炔氣體和氧氣所成混合氣體經由火星塞12 8產生光花而 爆發,利用經由此爆發所產生高速燃燒能量,令氧化鋁系 陶瓷粉末材料對石英製屏蔽環125和聚焦環119加以衝擊。 經由此衝擊,氧化鋁系陶瓷粉末材料則蝕入石英製屏蔽環 125和聚焦環119之各表面,如圖19B所示,形成石英和氧 化鋁系陶瓷的混合靥129,此混合層129之表面上,形成氧 化鋁系陶瓷層120,126。 如此地,於石英和氧化鋁系陶瓷之混合層12 9上,形 成氧化鋁系陶瓷層120,126之故,此氧化鋁系陶瓷層120 ’ 126和石英製屏蔽環125以及聚焦環Π9之接合力則呈強 固,提升氧化鋁系陶瓷層120,126之對電漿之耐蝕性· 於乙炔氣體和氧氣所成混合氣體爆發之時,此混合氣 體之溫度則上昇至2500 °C以上,例如3300 °C *此混合氣體 本紙張尺度適用中國國家標準(CNS > A4規格(210X297公釐) I---------1------V-------^ (請先閱讀背面之注意事項再填寫本頁) -41 - 經濟部中央標隼局員工消費合作社印裝 A7 B7五、發明説明(39 ) 則燃燒,此燃燒氣體則以音速約10倍之速度向蹌口。經由 此燃燒氣體,氧化鋁系陶瓷粉末材料係呈半融狀態,以音 速約2倍之速度,衝擊於石英製屏蔽環125和聚焦環119之 表面,此等石英製屏蔽環125和聚焦環119之各表面,形成 厚,例如10 # m至數1〇 μ m之堅固氧化鋁系陶瓷層120,126 〇 此氧化鋁系陶瓷層120,126中,於層形成後,令層之 表面施以研磨之平滑處理者爲佳。氧化鋁系陶瓷層120, 126之厚度係最終考量對電漿之耐蝕性而呈10〜400jtim, 氣孔率係爲極力抑制粒子,形成爲2¾以下爲佳•又,氧化 鋁系陶瓷層120,126中之氧化鋁之純度係以99. 5¾以上者 爲佳,此時維氏硬度則考量對電漿之耐蝕性,以9 0 0以上 者爲佳。研磨處理氧化鋁系陶瓷層120,126時,考量副生 成物之附著的困難性或附著物除去之容閉性時,研磨面之 表面粗糙度形成爲2em以下者爲佳。又,令氧化鋁系陶瓷 層形成於絕緣構件之一部分時,於此絕緣構件表面配置罩 體,由此罩體外側加以熔射。 接著,令具有上述構成的蝕刻裝置的動作,根據圖18 加以說明•首先,開放閘閥106和107,於此閘閥106或107 由載置鎖定卡盤(未圖示)透過晶圓V,令晶圓W載置於下部 電極103上。其後,封閉閘閥106和107。接著,介由氣體 供給管121供給處理氣體,此處理氣體係流入中空構造之 上部電極102內,由設於此上部電極102之下側部的氣體擴 散孔122,均勻地向處理室內擴散。 I I 裝"一 I 訂 線 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐〉 -42 - 經濟部中央標準局員工消費合作社印裝 A7 __B7 _ 五、發明説明(4〇 ) 此時,於上部電極102,由高頻電源12 4施加頻率27.. 12Mhz之高頻電壓,接著由此於所定時間後,例如以1秒以 下之時間,於下部電極10 3經由高頻電源111,施加800 kHz 之頻率電壓,於上部電極102和下部電極103間產生電漿。 經由此電漿之產生,於靜電卡盤11 2上,晶圓W則強固地吸 附保持。 上述電漿係於上部電極102之周圍之環狀石英製之屏 蔽環125和下部電極103之周圍之環狀石英製之屏蔽環119 間加以封閉而呈高密度•於此髙密度電漿進行晶圓W之蝕 刻處理。此時,石英製之屏蔽環125和聚焦環11 9係由高密 度電漿之侵蝕,由氧化鋁系陶瓷層120,126加以保護。又 ,處理橡體係由晶圓W之周圍透過障板11 4之排氣口 11 5流 入排氣管1 1 6。 根據如此之蝕刻裝置,經由環狀之石英製之屏蔽環 12 5和聚焦環119,令電漿於上部電極102和下部電極103間 產生封閉髙密度電漿,可於髙蝕刻率蝕刻晶圜。然後,於 屏蔽環125和聚焦環119,各經由設置氧化鋁系陶瓷層120 ,126,此等屏蔽環125和聚焦環119係經由電漿保護侵蝕 。由此,屏蔽環125和聚焦環119之耐用期間則可變長。更 且,經由保護電漿之侵蝕,於卡盤101內產生之粒子則會 減少,以及產生於卡盤101內之電漿會安定化之故,可提 升蝕刻處理之晶圓產率。 接著,將使用實施形態4之其他例使用圖2 0加以說明 •然而,於圖20中,與圖18同一之部分中,附上與圖18同 本紙張尺度適用中國國家標準(CNS〉A4規格(210X297公釐) I I I I I I I I 裝 __ I I I I I 訂— I __ —線 (請先閲讀背面之注意事項再填寫本頁) -43 - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(41 ) —之符號,省略其詳細說明。 此例之中,如圖20所示,於圖18之裝置卡盤101設置 石英製可拆卸之圓筒130。於此圓筒130之內周面,設置氧 化鋁系陶瓷層131。如此地,於上部電極102和下部電極 103之周圍設置石英筒130,較上述實施形態,更於此等上 部電極10 2和下部電極103間封閉電漿,爲此較上述實施形 態,更可得高密度之電漿。此時,石英製之圓筒130係經 由氧化鋁系陶瓷層131,由電漿之濺射加以保護。又,石 英製之圓筒130係於卡盤101內之內壁,抑制附著產生於電 漿生成時之粒子的原因之反應生成物,更且,於此石英製 之圖筒130附著反應生成物時,此石英製之圓筒13 0係可拆 卸地加以構成之故,取代此石英製之圓筒130,可容易地 加以維護。 然而,於上述之2實施形態中,做爲電漿處理裝置, 使用蝕刻裝置加以說明,但是並不限於此,實施形態4係 可適用經由電漿處理被處理體之裝置,例如濺射裝置、 CVD裝置等。又,上述2個實施形態中,雖將氧化鋁系陶瓷 層設於聚焦環119和屏蔽環125之兩者,但是亦可設於任一 方者。又,上述2個實施形態中,於聚焦環119和屏蔽環 125中,雖僅於電漿會接觸之部分設置氧化鋁系陶瓷層, 於對應電漿周圍等之外的部分設置氧化鋁系陶瓷層亦可。 更且,上述之2個資施形態中,於蝕刻裝置,於上部電極 102和下部電極103之雙方連接高頻電源,不限於此實施形 態4係於任一方之電極連接高頻電源之裝置亦可適用,得 本紙伕尺度適用中國國家標準(CNS ) A4規格(210X297公嫠) ----------装-----1.^1------^ (請先閱讀背面之注意事項再填寫本頁) -44 - 經濟部中央標準局員工消費合作杜印裝 A7 B7 五、發明説明(42 ) 同樣之效果。又,實施形態4係於電漿之產生不利用電場 的微波者,或 T C P ( T r a n s f 〇 r m e r C 〇 u p 1 e d P 1 a s m a )等之誘 導方式等,於電漿氣氛具有存在絕緣構件之構成裝置的任 何裝置亦適用,得同樣之效果。 根據實施形態4,於處理容器內之所定場所,封閉電 漿地,以配置之石英所構成之絕緣構件則經由電漿直接加 以濺射,經由氧化鋁系陶瓷層加以減少。爲此,延長絕緣 構件之壽命,減少交換時間及交換次數之故,可提升裝置 之動作時間。更且,可減少絕緣構件之電漿之濺射之故, 抑制於處理容器內呈粒子原因之石英霱之產生,且可令電 漿狀態安定化,提升電漿處理之被處理基板之產率。 【圖面之簡單說明】 圖1係顯示有關本發明第1發明之第1形態之蝕刻裝置 構成的說明圖· 圖2係顯示示於圖1蝕刻裝置之上側絕緣構件和下側絕 緣構件之位置'關係要部擴大圖。 圖3係顯示適用示於圖1蝕刻裝置中之上側絕緣構件之 其他形態要部擴大圖。 圖4係顯示於適用示於圖1蝕刻裝置中之上側絕緣構件 一部分,形成觀察電漿用之窗構成的上側絕緣構件要部擴 大圖· 圖5係顯示於圖4之上側絕緣構件的斜視圖》 圖6係顯示於適用示於圖1蝕刻裝置中之上側絕緣構件 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ----------裝-- (請先閲讀背面之注意事項再填寫本頁) 訂 -45 - 經濟部中央標準局員工消費合作社印製 323387 A7 B7 五、發明説明(43) 下面,形成觀察電漿用之缺口部構成的上側絕緣構件要部 擴大圖。 „ 圖7係顯示於圖6之上側絕緣構件的斜視圖。 圖8係顯示可適用示於圇1蝕刻裝置之下面爲'平坦之斜 面形狀之上側絕緣構件形態的要部擴大圖· 圖9係顯示可適用示於圖1蝕刻裝置之下面爲筒狀之上 側絕緣構件形態的要部擴大圖· 圖10係顯示有關本發明第1發明之第2形態之蝕刻裝置 構成的說明圖· 圖11係顯示示於圖10之蝕刻裝置之屏蔽環和外側聚焦 環之位置關係的要部擴大圖。 圖12係顯示示於圓10之蝕刻裝置之屏蔽環和外側聚焦 環之距離關係的說明圖。 圖13係顯示可使用於本發明之屏蔽環和外側聚焦環之 其他形態之例的說明圖。 圖14係顯示有關本發明第2發明之蝕刻裝置構成的說 明圖。 圖15係示於圖14之蝕刻裝置之屏蔽環附近的要部擴大 圖。 圖16係顯示於示於圚14之蝕刻裝置之傳毫構件之背面 側 < 設置真空層之情形的說明圖。 圖17係顯示於示於圖14之蝕刻裝置之外側聚焦環內部 ,將傳導構件氣密性封入之情形的說明圖。 圖18係顯示有關本發明實施形態4之蝕刻裝置構成的 本紙張尺度適用中國國家橾準(CNS ) A4規格(210X297公釐) ---------1— (請先閲讀背面之注意事項再填寫本頁)
-.-IT 線· -46 - A7 B7 五、發明説明(44 ) 說明圖。 圖19A係說明經由爆發熔射令氧化鋁系陶瓷層形成於 石英構件的方法之圖。 圖19B係經由爆發熔射形成於石英構件上的氧化鋁系 陶瓷層的截面圖。 圖2 0係顯示有關本發明之實施形態4之蝕刻裝置的其 他構成之說明圖。 ---------批衣------IT------^ (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作杜印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -47 -

Claims (1)

  1. A8 B8 C8 D8 六、申請專利範圍 1 .—種置,針對於減启如的處_理室內 ,JL向於上部1極和1部電極加以配置,經由高頻電力之 供給,於前述上部電極和下部電極間產生電漿,對於前述 部.惠显上的被處理基板施以處理之電漿處理裝置,美遣 i係 — 位於前述上部鬣凰或1部璽極之至少一方之的 變:螻舅Jf之座蓃中,具設具有高熱傳導車的傳導構件者。 2. 如申請專利範圍第1項之電漿處理裝置,其中,於 前述絕緣構件內部之傳導構件之前述上部電極側,避ϋ 空層者。 ♦.,>-*·............一 3. 如申請專利範圍第1項之電漿處理裝置,其中,前 述癉以選自任一之由鋁,多結晶矽、及氮化硼所成 群加以構成者。 I— I I I ^ 裝— I I I I I 訂 ^ I I I 線 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央橾隼局貝工消費合作社印装 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 48 -
TW086105477A 1995-06-07 1996-06-04 TW323387B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP07166866A JP3121524B2 (ja) 1995-06-07 1995-06-07 エッチング装置
JP16806795 1995-06-08
JP7169209A JPH08339895A (ja) 1995-06-12 1995-06-12 プラズマ処理装置
JP17137095A JP3162955B2 (ja) 1995-06-13 1995-06-13 プラズマ処理装置

Publications (1)

Publication Number Publication Date
TW323387B true TW323387B (zh) 1997-12-21

Family

ID=27474095

Family Applications (2)

Application Number Title Priority Date Filing Date
TW086105477A TW323387B (zh) 1995-06-07 1996-06-04
TW085106679A TW434745B (en) 1995-06-07 1996-06-04 Plasma processing apparatus

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW085106679A TW434745B (en) 1995-06-07 1996-06-04 Plasma processing apparatus

Country Status (3)

Country Link
US (1) US5919332A (zh)
KR (1) KR100345420B1 (zh)
TW (2) TW323387B (zh)

Families Citing this family (256)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6149730A (en) * 1997-10-08 2000-11-21 Nec Corporation Apparatus for forming films of a semiconductor device, a method of manufacturing a semiconductor device, and a method of forming thin films of a semiconductor
KR100258984B1 (ko) * 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JPH11193470A (ja) * 1997-12-26 1999-07-21 Canon Inc 堆積膜形成装置及び堆積膜形成方法
US6112697A (en) * 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6395128B2 (en) 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
WO1999067816A1 (fr) * 1998-06-24 1999-12-29 Hitachi, Ltd. Dispositif de gravure a sec et procede de production de dispositifs a semi-conducteurs
JP4151749B2 (ja) * 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 プラズマ処理装置およびその方法
JP4213790B2 (ja) * 1998-08-26 2009-01-21 コバレントマテリアル株式会社 耐プラズマ部材およびそれを用いたプラズマ処理装置
US6176198B1 (en) * 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
KR100790652B1 (ko) * 1998-12-28 2007-12-31 동경 엘렉트론 에이티 주식회사 플라즈마 처리 방법
US6176930B1 (en) * 1999-03-04 2001-01-23 Applied Materials, Inc. Apparatus and method for controlling a flow of process material to a deposition chamber
JP4554824B2 (ja) * 1999-03-30 2010-09-29 東京エレクトロン株式会社 プラズマ処理装置,そのメンテナンス方法およびその施工方法
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
US6257168B1 (en) * 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
JP2001057359A (ja) * 1999-08-17 2001-02-27 Tokyo Electron Ltd プラズマ処理装置
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3366301B2 (ja) * 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6599366B1 (en) * 1999-11-16 2003-07-29 Tokyo Electron Limited Substrate processing unit and processing method
US6268293B1 (en) * 1999-11-18 2001-07-31 International Business Machines Corporation Method of forming wires on an integrated circuit chip
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6363882B1 (en) * 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
KR100635975B1 (ko) 2000-02-14 2006-10-20 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 방법과, 플라즈마 처리 장치용 링 부재
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6562684B1 (en) 2000-08-30 2003-05-13 Micron Technology, Inc. Methods of forming dielectric materials
TWI334888B (zh) * 2000-09-08 2010-12-21 Tokyo Electron Ltd
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
JP2002198355A (ja) * 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
KR100842947B1 (ko) * 2000-12-26 2008-07-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
KR100526007B1 (ko) * 2000-12-28 2005-11-08 엘지.필립스 엘시디 주식회사 플라즈마 에칭장치
WO2002061179A1 (en) * 2001-01-19 2002-08-08 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
JP4676074B2 (ja) * 2001-02-15 2011-04-27 東京エレクトロン株式会社 フォーカスリング及びプラズマ処理装置
US20030213561A1 (en) * 2001-03-12 2003-11-20 Selwyn Gary S. Atmospheric pressure plasma processing reactor
JP2002270598A (ja) * 2001-03-13 2002-09-20 Tokyo Electron Ltd プラズマ処理装置
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
KR100433008B1 (ko) * 2001-04-18 2004-05-31 (주)소슬 플라즈마 식각 장치
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
JP2002343787A (ja) * 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
JP2002367971A (ja) * 2001-06-13 2002-12-20 Mitsubishi Electric Corp プラズマ処理装置およびこれを用いた処理方法並びに半導体装置の製造方法
JP2002373929A (ja) * 2001-06-14 2002-12-26 Tokyo Electron Ltd ウエハ支持体
KR100491140B1 (ko) * 2001-06-15 2005-05-27 주식회사 셈테크놀러지 대기압 플라즈마를 이용한 표면 세정방법 및 장치
TWI234417B (en) * 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
JP2003100713A (ja) * 2001-09-26 2003-04-04 Kawasaki Microelectronics Kk プラズマ電極用カバー
WO2003030239A1 (fr) * 2001-09-28 2003-04-10 Sumitomo Precision Products Co., Ltd. Procede de gravure de substrat de silicium et appareil de gravure
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
EP1310466A3 (en) * 2001-11-13 2003-10-22 Tosoh Corporation Quartz glass parts, ceramic parts and process of producing those
US6926774B2 (en) * 2001-11-21 2005-08-09 Applied Materials, Inc. Piezoelectric vaporizer
US20040129218A1 (en) * 2001-12-07 2004-07-08 Toshiki Takahashi Exhaust ring mechanism and plasma processing apparatus using the same
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
WO2003060973A1 (fr) * 2002-01-10 2003-07-24 Tokyo Electron Limited Dispositif de traitement
US20040250763A1 (en) * 2002-01-11 2004-12-16 Ovshinsky Stanford R. Fountain cathode for large area plasma deposition
JP4908738B2 (ja) * 2002-01-17 2012-04-04 サンデュー・テクノロジーズ・エルエルシー Ald方法
US20050120960A1 (en) * 2002-03-12 2005-06-09 Tokyo Electron Limited Substrate holder for plasma processing
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP3821065B2 (ja) * 2002-07-04 2006-09-13 東京エレクトロン株式会社 プラズマ処理装置、上部電極カバー、及び上部電極カバー用窓部
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP4214114B2 (ja) * 2002-09-10 2009-01-28 東京エレクトロン株式会社 処理装置,および,処理装置のメンテナンス方法
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
CN1249789C (zh) * 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
US7582186B2 (en) * 2002-12-20 2009-09-01 Tokyo Electron Limited Method and apparatus for an improved focus ring in a plasma processing system
CN1518073A (zh) * 2003-01-07 2004-08-04 东京毅力科创株式会社 等离子体处理装置及聚焦环
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
JP4336124B2 (ja) 2003-03-10 2009-09-30 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN1777691B (zh) * 2003-03-21 2011-11-23 东京毅力科创株式会社 用于减少处理过程中基片背部的淀积的方法和装置
KR101016913B1 (ko) 2003-03-31 2011-02-22 도쿄엘렉트론가부시키가이샤 처리요소용 배리어층 및 그의 형성방법
CN100495413C (zh) 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
KR100585089B1 (ko) * 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US8349086B2 (en) 2004-07-30 2013-01-08 United Technologies Corporation Non-stick masking fixtures and methods of preparing same
US20060108069A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
JP4704088B2 (ja) 2005-03-31 2011-06-15 東京エレクトロン株式会社 プラズマ処理装置
JP2006303309A (ja) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp プラズマ処理装置
KR100737715B1 (ko) * 2005-05-26 2007-07-10 주식회사 에이디피엔지니어링 플라즈마 처리장치
US9520276B2 (en) 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US20060288934A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
CN1909760B (zh) * 2005-08-05 2010-07-21 中微半导体设备(上海)有限公司 真空反应室及其处理方法
JP4107316B2 (ja) * 2005-09-02 2008-06-25 株式会社日立プラントテクノロジー 基板貼合装置
KR100699681B1 (ko) 2005-12-28 2007-03-23 동부일렉트로닉스 주식회사 반도체 제조 장치
JP4865352B2 (ja) 2006-02-17 2012-02-01 三菱重工業株式会社 プラズマ処理装置及びプラズマ処理方法
US8157953B2 (en) * 2006-03-29 2012-04-17 Tokyo Electron Limited Plasma processing apparatus
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US20080073032A1 (en) * 2006-08-10 2008-03-27 Akira Koshiishi Stage for plasma processing apparatus, and plasma processing apparatus
KR100763532B1 (ko) * 2006-08-17 2007-10-05 삼성전자주식회사 웨이퍼 지지장치, 웨이퍼 노광 장치 및 웨이퍼 지지방법
KR100955144B1 (ko) * 2006-10-03 2010-04-28 파나소닉 주식회사 플라즈마 도핑 방법 및 장치
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
JP2008187062A (ja) * 2007-01-31 2008-08-14 Hitachi High-Technologies Corp プラズマ処理装置
JP5317424B2 (ja) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US20080289766A1 (en) * 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
US20090151870A1 (en) * 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
TWI501704B (zh) * 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
JP2009200184A (ja) * 2008-02-20 2009-09-03 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置のバッフル板
JP4731580B2 (ja) * 2008-03-27 2011-07-27 東京エレクトロン株式会社 成膜方法および成膜装置
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
US8382941B2 (en) 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
CN101740298B (zh) * 2008-11-07 2012-07-25 东京毅力科创株式会社 等离子体处理装置及其构成部件
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
US8540844B2 (en) 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
JP5394403B2 (ja) * 2009-01-09 2014-01-22 株式会社アルバック プラズマ処理装置
JP5643528B2 (ja) * 2009-03-30 2014-12-17 東京エレクトロン株式会社 基板処理装置
JP5501807B2 (ja) * 2009-03-31 2014-05-28 東京エレクトロン株式会社 処理装置
TWI473144B (zh) * 2009-07-31 2015-02-11 Ulvac Inc 成膜裝置
EP2484185A4 (en) * 2009-09-28 2014-07-23 Lam Res Corp SLING CONTAINMENT RING ARRANGEMENTS AND ASSOCIATED METHODS
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
US20110315319A1 (en) * 2010-06-25 2011-12-29 Applied Materials, Inc. Pre-clean chamber with reduced ion current
JP5597463B2 (ja) * 2010-07-05 2014-10-01 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
JP5759718B2 (ja) * 2010-12-27 2015-08-05 東京エレクトロン株式会社 プラズマ処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US20130153149A1 (en) * 2011-12-20 2013-06-20 Intermolecular, Inc. Substrate Processing Tool with Tunable Fluid Flow
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
JP2013258270A (ja) * 2012-06-12 2013-12-26 Tokyo Electron Ltd 基板載置台及び基板処理装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
DE102012111896A1 (de) * 2012-12-06 2014-06-12 Aixtron Se CVD-Reaktor mit einem mechanischen Reinigungselement zum Reinigen eines Gasauslassrings
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
GB201309583D0 (en) * 2013-05-29 2013-07-10 Spts Technologies Ltd Apparatus for processing a semiconductor workpiece
CN103397308A (zh) * 2013-08-01 2013-11-20 光垒光电科技(上海)有限公司 用于mocvd设备的喷淋头
CN103436856A (zh) * 2013-08-01 2013-12-11 光垒光电科技(上海)有限公司 反应腔室
KR101455789B1 (ko) * 2013-08-06 2014-11-03 주식회사 알지비하이텍 기판처리장치의 서셉터 및 그를 가지는 기판처리장치
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
JP6456601B2 (ja) * 2014-05-07 2019-01-23 東京エレクトロン株式会社 プラズマ成膜装置
KR102240923B1 (ko) * 2014-06-30 2021-04-15 세메스 주식회사 기판 처리 장치
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP2018513567A (ja) * 2015-04-24 2018-05-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フローアイソレータリングを含むプロセスキット
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017051748A1 (ja) * 2015-09-25 2017-03-30 住友大阪セメント株式会社 静電チャック装置
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
KR20170073757A (ko) * 2015-12-18 2017-06-29 삼성전자주식회사 플라즈마 처리 장치용 상부 전극 및 이를 포함하는 플라즈마 처리 장치
WO2017149738A1 (ja) * 2016-03-03 2017-09-08 コアテクノロジー株式会社 プラズマ処理装置及びプラズマ処理用反応容器の構造
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
US20170335459A1 (en) * 2016-05-17 2017-11-23 Applied Materials, Inc. Non-shadow frame plasma processing chamber
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10879051B2 (en) * 2016-11-29 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for controlling exposure region in bevel etching process for semiconductor fabrication
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP6750534B2 (ja) * 2017-02-24 2020-09-02 東京エレクトロン株式会社 成膜装置
US10312076B2 (en) 2017-03-10 2019-06-04 Applied Materials, Inc. Application of bottom purge to increase clean efficiency
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10354844B2 (en) 2017-05-12 2019-07-16 Asm Ip Holding B.V. Insulator structure for avoiding abnormal electrical discharge and plasma concentration
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
JP6895813B2 (ja) * 2017-06-08 2021-06-30 東京エレクトロン株式会社 プラズマ溶射ヘッド、プラズマ溶射装置及びプラズマ溶射方法
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10872804B2 (en) * 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7101628B2 (ja) * 2019-02-04 2022-07-15 東京エレクトロン株式会社 プラズマ処理装置および電極構造体
KR102175087B1 (ko) * 2019-03-14 2020-11-05 세메스 주식회사 기판 처리 장치 및 이의 에지 링
US20200365375A1 (en) * 2019-05-15 2020-11-19 Applied Materials, Inc. Stray plasma prevention apparatus for substrate process chamber
JP7357513B2 (ja) * 2019-11-12 2023-10-06 東京エレクトロン株式会社 プラズマ処理装置
CN113035679B (zh) * 2019-12-24 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
US20210343508A1 (en) * 2020-04-30 2021-11-04 Applied Materials, Inc. Metal oxide preclean chamber with improved selectivity and flow conductance
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
KR102585287B1 (ko) * 2020-09-08 2023-10-05 세메스 주식회사 기판 처리 장치 및 이의 커버링
US20220328293A1 (en) * 2021-04-13 2022-10-13 Applied Materials, Inc. Isolator for processing chambers

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6269620A (ja) * 1985-09-24 1987-03-30 Anelva Corp プラズマ処理装置
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus

Also Published As

Publication number Publication date
KR100345420B1 (ko) 2002-11-21
US5919332A (en) 1999-07-06
KR970003610A (ko) 1997-01-28
TW434745B (en) 2001-05-16

Similar Documents

Publication Publication Date Title
TW323387B (zh)
JP4470970B2 (ja) プラズマ処理装置
JP3953247B2 (ja) プラズマ処理装置
JP4688983B2 (ja) 誘導結合型hdp−cvdリアクター
JP4329403B2 (ja) プラズマ処理装置
CN100388434C (zh) 半导体处理用的基板保持结构和等离子体处理装置
US7895970B2 (en) Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
JP4121269B2 (ja) セルフクリーニングを実行するプラズマcvd装置及び方法
US8191505B2 (en) Process gas introducing mechanism and plasma processing device
US20040173314A1 (en) Plasma processing apparatus and method
JPH07335626A (ja) プラズマ処理装置およびプラズマ処理方法
JP3276514B2 (ja) プラズマ処理装置
CN112534546A (zh) 低粒子等离子体蚀刻的方法和设备
JP4493863B2 (ja) プラズマ処理装置およびそのクリーニング方法および静電チャックの除電方法
US20080236754A1 (en) Plasma processing apparatus
JP2004235545A (ja) プラズマ処理装置及び処理方法
JP4861208B2 (ja) 基板載置台および基板処理装置
KR100889433B1 (ko) 플라즈마 처리 장치
JP4931716B2 (ja) プラズマ処理装置及びプラズマ生成室
JP3222859B2 (ja) プラズマ処理装置
JP3077516B2 (ja) プラズマ処理装置
JP2008276984A (ja) プラズマ処理装置及び誘電体窓
JP4381699B2 (ja) プラズマ処理装置
JP2004047500A (ja) プラズマ処理装置およびその初期化方法
JPH08148295A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent