KR101016913B1 - 처리요소용 배리어층 및 그의 형성방법 - Google Patents

처리요소용 배리어층 및 그의 형성방법 Download PDF

Info

Publication number
KR101016913B1
KR101016913B1 KR1020057018488A KR20057018488A KR101016913B1 KR 101016913 B1 KR101016913 B1 KR 101016913B1 KR 1020057018488 A KR1020057018488 A KR 1020057018488A KR 20057018488 A KR20057018488 A KR 20057018488A KR 101016913 B1 KR101016913 B1 KR 101016913B1
Authority
KR
South Korea
Prior art keywords
layer
processing
protective barrier
protective
plasma
Prior art date
Application number
KR1020057018488A
Other languages
English (en)
Other versions
KR20050120681A (ko
Inventor
게리 에스처
마크 에이. 앨런
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20050120681A publication Critical patent/KR20050120681A/ko
Application granted granted Critical
Publication of KR101016913B1 publication Critical patent/KR101016913B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

처리시스템내의 처리 및 어떠한 기판의 후속적인 오염에 의하여 처리시스템내의 노출된 처리요소의 부식을 경감하기 위하여, 처리에 노출된 처리요소들은 보호배리어로 피복된다. 보호 배리어는 플라즈마에 의한 부식에 내성을 가지는 보호층과, 그 보호층의 문제에 의한 가능한 처리오염을 경감하기 위하여 처리요소에 대한 보호층의 접착을 개선하는 접합층을 포함하여 구성된다.

Description

처리요소용 배리어층 및 그의 형성방법{A BARRIER LAYER FOR A PROCESSING ELEMENT AND A METHOD OF FORMING THE SAME}
[관련출원의 상호참조]
본 출원은 2003년 3월 31일에 출원된 미국 임시출원 No. 60/458,439 호의 우선권을 주장하며 그에 관련된 것이다. 이 출원의 내용은 참조적으로 본 명세서에 연관되어 있다.
본 발명은 처리요소용 배리어(barrier)층 및 그의 형성방법에 관한 것이며, 보다 상세하게는 어드히젼층 및 보호층을 포함하여 구성되는 처리요소용 배리어층 및 그의 형성방법에 관한 것이다.
반도체산업에 있어서 집적회로(IC)의 제조에는 기판으로부터 물질을 제거하고 증착하는데 필요한 플라즈마 반응로내에서 표면화학물질을 생성하고 지원하기 위하여 전형적으로 플라즈마를 채택하고 있다. 일반적으로, 공급된 처리가스와 함께 이온화 충돌을 유지하기에 충분한 정도로 에너지를 부여하기 위하여 전자를 가열함으로써 진공조건하에서 플라즈마반응로 내에서 플라즈마가 형성된다. 더우기, 가열된 전자들은 불연속적인 충돌을 유지하기에 충분한 에너지를 가질 수 있으며, 따라서, 소정 조건(예를 들면, 처리실압력, 가스유량등)하에서는 특정한 기체의 집 합이 처리실내에서 수행되는 특정한 처리(예를 들면 물질들이 기판으로부터 제거되는 에칭처리 또는 기판에 물질이 더해지는 증착저리)에 맞는 하전종 및 화학적 반응종의 무리를 생성하도록 선택된다.
비록 하전종(이온등)과 화학적 반응종의 무리의 형성이 기판표면에서의 플라즈마 처리시스템(즉, 재료에칭, 재료증착등)의 기능을 수행하기 위하여 필요하긴 하지만, 처리실 내부의 기타 부품의 표면들이 화학적 활성인 플라즈마에 물리적 및 화학적으로 노출되며, 부식된다. 플라즈마 처리시스템내의 노출된 부품의 부식은 플라즈마 처리성능의 점진적인 열화로, 또한 궁극적으로는 시스템의 완전한 고장으로 이끌 수 있다.
처리플라즈마에 대한 노출에 의해 야기되는 충격을 최소화하기 위하여, 처리플라즈마에 대한 노출을 야기시키는 것으로 알려진 플라즈마 처리 시스템의 구성부품들은 보호배리어로 피복된다. 예를 들어, 알루미늄으로부터 제조된 구성부품들은 산화알루미늄의 표면층을 형성하도록 산화피막처리될 수 있으며, 이는 플라즈마에 보다 내성을 가지게 된다. 다른 예로서, 실리콘, 석영, 알루미나, 탄소 또는 탄화실리콘으로부터 제조되는 것과 같은 소모적이거나 대체가능한 부품들이 처리실내로 삽입되어, 잦은 교체를 하게 되면 많은 비용이 들 수 있거나 또는 처리중의 변화에 영향을 받기 쉬운 보다 가치있는 부품의 표면을 보호하도록 한다. 또한, 처리플라즈마 및 가능하게는 기판상에 형성된 소자로의 원하지 않는 오염, 불순물등의 도입을 최소화하는 표면물질을 선택하는 것이 바람직하다.
양쪽 경우에 있어서, 보호배리어의 일체성에 기인한 것이건 또는 보호배리어 의 제조의 일체성에 기인한 것이건간에, 보호피막의 불가피한 불능 및, 교체가능한 구성부품의 소모적인 성격은 플라즈마 처리시스템의 빈번한 보수유지를 필요로 한다. 이러한 빈번한 보수유지는 플라즈마 처리 다운시간 및 과도할 수 있는 새로운 플라즈마 처리실과 관련하여 비용을 발생할 수 있다.
[발명의 요약]
처리요소용 배리어층 및 그의 형성방법이 개시된다.
처리를 행하기 위한 처리시스템내에서 사용되는 처리요소상의 보호배리어는: 플라즈마 전해산화법(Plasma electolytic oxidation)를 사용하여 형성된 층을 포함하여 구성되고, 처리요소에 결합된 접합층; 및, 그 접합층에 결합되고 처리에 노출되도록 구성되는 보호층을 포함하여 구성된다.
처리를 행하기 위한 처리시스템내에서 사용되는 처리요소상의 보호배리어의 형성방법은: 플라즈마 전해산화법을 포함하여 구성되는 방법에 의하여 처리요소상에 접합층을 인가하는 공정과; 그 접합층에 보호층을 인가하는 방법을 포함하여 구성된다.
본 발명의 이들 및 기타의 장점들은 첨부된 도면과 관련하여 채택된 발명의 예시적인 실시예에 대한 이하의 상세한 설명으로부터 보다 명백해지고, 보다 용이하게 이해될 수 있을 것이다.
도 1은 본 발명의 일 실시예에 따른 플라즈마 처리시스템의 모식적 블록도를 나타낸다;
도 2는 도 1에서 나타낸 바와 같은 플라즈마 처리시스템내의 처리요소의 일부의 확대단면도를 나타낸다;
도 3은 본 발명의 일 실시예에 따른 플라즈마 처리시스템내의 처리요소상에 보호층을 형성하는 방법을 나타낸다.
플라즈마 에칭이 가능한 플라즈마 처리시스템(1)이 도 1에 도시되어 있으며, 처리실(10)과, 상부 조립체(20)와, 상부벽(24)과, 기판(35)을 지지하기 위한 기판 호울더(30) 및, 처리실(10)내에 감압분위기(11)를 제공하기 위하여 진공펌프(도시않됨)에 결합된 펌프덕트(40)를 포함하여 구성된다. 예를 들어, 처리실(10)은 기판(35)에 인접한 처리공간(12)내에서 처리 플라즈마의 생성을 촉진한다. 플라즈마 처리시스템(1)은 다양한 기판(즉, 200mm 기판, 300mm 기판, 또는 그 이상)을 제조하도록 구성될 수 있다.
도시된 실시예에 있어서, 상부 조립체(20)는 적어도 한개의 덮개와, 가스주입 조립체 및 상부전극 임피던스 정합회로를 포함하여 구성될 수 있다. 예를 들어, 상부벽(24)는, 예를 들면 무선주파수(RF) 발생원에 결합된 전극판을 가지는 전극을 포함하여 구성될 수 있으며, 따라서 플라즈마 처리시스템(1)에 대한 상부전극을 구성하게 된다. 다른 선택적인 실시예에 있어서, 상부 조립체(20)는 덮개 및 상부벽(24)을 포함하여 구성되며, 상부벽(24)은 처리실(10)의 전위에 등가인 전위로 유지된다. 예를 들어, 처리실(10), 상부조립체(20), 및 상부벽(24)들은 접지전위에 전기적으로 접속될 수 있으며, 플라즈마 처리시스템(1)에 대한 접지벽을 제공 하게 된다.
예를 들어, 처리실(10)은 플라즈마 처리실(10)을 처리공간(12)내의 처리플라즈마로부터 보호하기 위한 증착차폐(14) 및 광학적 확인구(16)을 더 포함하여 구성될 수 있다. 광학적 확인구(16)은 광학창 증착차폐(18)의 뒷면에 결합된 광학창(17)을 포함하여 구성될 수 있으며, 광학창 플랜지(19)는 광학창(17)을 광학창 증착차폐(18)에 결합하도록 구성될 수 있다. O링과 같은 밀폐부재가 광학창 플랜지(19)와 광학창(17)의 사이에, 또한 광학창(17)과 광학창 증착차폐(18)의 사이 및, 광학창 증착차폐(18)와 처리실(10) 사이에 마련될 수 있다.
광학창 증착차폐(18)는 증착차폐(14)내의 개구를 통하여 연장될 수 있다. 광학적 확인구(16)는, 예를 들면 처리공간(12)내의 처리플라즈마로부터의 광학적 방출의 관찰을 허용한다.
예를 들어, 기판 호울더(30)는 기판 호울더(30) 및 처리실(10)에 결합된 벨로우즈(52)에 의하여 둘러싸이고 수직변위장치(50)를 더 포함하여 구성되고, 그 수직변위장치(50)를 처리실(10)내의 감압분위기(11)로부터 밀폐하도록 구성된다. 부가적으로, 벨로우즈 차폐(54)가, 예를 들면, 기판 호울더(30)에 결합될 수 있으며, 처리플라즈마로부터 벨로우즈(52)를 보호하도록 구성된다. 선택적으로, 기판호울더는 수직변위장치 및 벨로우즈를 포함하지 않고 구성될 수 있다.
기판 호울더(10)는 포커스 링(60) 및, 선택적으로 차폐링(56)에 더 결합될 수 있다. 또한 배플(baffle)판(58)은, 예를 들면 기판 호울더(30)의 둘레의 주위에 연장될 수 있다.
기판(35)은, 예를 들면, 기판 호울더(30)내에 탑재된 기판 승강핀(도시않됨)에 의하여 수납되고 그의 내부에 탑재된 장치에 의하여 반송되는 로봇식 기판반송시스템을 통하여 슬롯밸브(도시않됨) 및 처리실 공급구(도시않됨)를 통하여 처리실(10)의 안팎으로 반송될 수 있다. 일단 기판(35)이 기판반송 시스템으로부터 수납되면, 기판 호울더(30)의 상부면상에 놓여진다.
기판(35)은, 예를 들면, 정전 클램프시스템을 통하여 기판 호울더(30)에 부착될 수 있다. 또한, 기판 호울더(30)는, 예를 들면, 기판 호울더(30)로부터의 열을 수납하고 그 열을 열교환 시스템(도시않됨)으로 전달하거나, 가열시에, 열교환 시스템으로부터의 열을 기판 호울더(30)로 전달하는 재순환 냉매류를 포함하는 냉각시스템을 포함한다. 또한, 가스가, 예를 들면, 기판(35)과 기판 호울더(30) 사이의 가스-간극 열전도율을 제고하기 위하여 이면 가스시스템을 통하여 기판(35)의 이면측으로 공급될 수 있다. 그러한 시스템은 상승 또는 감소된 온도로 기판의 온도를 제어함이 필요한 경우에 이용될 수 있다. 기타의 실시예에서, 저항가열소자와 같은 가열소자 또는 전열히터/냉각기가 포함될 수 있다.
도 1에 나타낸 실시예에 있어서, 기판 호울더(30)는 처리실(12)내의 처리플라즈마에 RF전원이 결합되는 전극(도시않됨)을 포함하여 구성될 수 있다. 예를 들어, 기판 호울더(30)는 임피던스 정합회로(도시않됨)를 통하여 RF발전기(도시않됨)로부터 기판 호울더(30)로의 RF전력의 전달을 통한 RF전압으로 전기적으로 바이어스될 수 있다. RF바이어스는 플라즈마를 생성 및 유지하기 위하여 전자를 가열하도록 기능할 수 있다. 이러한 구성에 있어서, 시스템은 반응이온 에칭(Reactive Ion Etch:RIE) 리액터로서 기능할 수 있으며, 처리실 및 상부가스 주입전극은 전위면으로서 기능하게 된다. RF바이어스를 위한 전형적인 주파수는 1MHz 내지 100MHz 로서, 예를 들면 13.56MHz 이다. 플라즈마처리를 위한 RF 시스템은 당업자에게 주지되어 있다.
선택적으로 처리공간(12)내에 형성된 처리플라즈마는 평행평판, 용량결합성 플라즈마(CCP)소스, 유도결합성 플라즈마(ICP)소스, 변성결합성 플라즈마(TCP)소스, 또는 이들의 결합 및, DC전자석 시스템의 구비하거나 구비하지 않거나 하여 형성될 수 있다. 선택적으로, 처리공간(12)내의 처리플라즈마는 전자사이클로트론 공명(ECR)을 사용하여 형성될 수 있다. 또한 다른 실시형태에 있어서, 처리공간(12)내의 처리플라즈마는 헬리콘파의 발생으로부터 형성된다. 또 다른 실시형태에 있어서, 처리공간(12)내의 처리플라즈마는 전달표면파로부터 형성된다.
도 1에서는 또한, 플라즈마 처리장치(1)는 하나 이상의 처리요소를 포함하여 구성되며, 이들 각각은 처리공간(12)내의 처리플라즈마에 노출될 수 있으며, 따라서 처리중에 잠재적인 부식상태에 처하게 된다. 예를 들어, 하나 이상의 처리요소는 전극판, 증착차폐, 챔버라이너, 벨로우즈 차폐, 배플판, 광학창 증착차폐, 차폐링, 포커스링등이다. 처리플라즈마 및 어떠한 기판의 부속적인 오염에 의하여 노출된 처리요소의 부식을 경감하기 위하여, 처리요소들은 보호배리어로 피복될 수 있다.
도 2에 나타낸 바와 같이, 하나의 실시예에 있어서는, 처리요소(100)는 플라즈마에 의한 부식에 내성을 가지는 보호층(120)을 가지는 보호 배리어(110) 및, 보 호층의 손상에 의한 가능한 처리오염을 경감시키기 위하여 처리요소(100)로의 보호층(120)의 부착을 개선하는 접합층(130)을 포함하여 구성된다.
보호층(120)은, 예를 들어, Al2O3 및 Y2O3 의 적어도 하나를 포함하여 구성된다. 본 발명의 다른 실시예에 있어서는, 보호층은 3족 원소(원소주기율표상의 3족) 및 란탄족 원소중의 적어도 하나를 포함하여 구성된다. 본 발명의 다른 실시예에 있어서는, 3족 원소는 이트륨(Yttrium), 스칸디움(Scandium) 및 란탄(Lanthanum)중의 적어도 하나를 포함하여 구성된다. 본 발명의 다른 실시예에 있어서는, 란탄족 원소는 세륨(Cerium), 디스프로슘(Dysprosium) 및 유로퓸(Europium)중의 적어도 하나를 포함하여 구성된다. 본 발명의 다른 실시예에 있어서, 보호층을 형성하는 화합물은 산화이트륨(Yttria: Y2O3), Sc2O3, Sc2F3 ,, YF3, La2O3 및 DyO3 중의 적어도 하나를 포함하여 구성된다.
접합층은 예를 들어, 케로나이트 방법(Keronite: 영국 캠브리지 CB1 6ZY, 그레이트 에빙튼, 그랜타 파크, PO Box 70에 소재하는 케로나이트 리미티드, 어드밴스트 서피스 테크놀로지에 의하여 상업적으로 행해지는 표면피막처리)에 의하여 얻어진다. 일반적으로, 접합층은: (금속)처리요소와 세라믹사이의 박형 전이층과, 경성을 부여하는 밀집된 주층 및 연성이며 보다 다공성인 외부층을 포함하는 3개의 층을 포함하여 구성될 수 있다. 연성의 보다 다공성인 외부층은, 전형적으로는 전체 접합층 두께의 10 내지 20%까지 포함하여 구성되며, 보호층의 인가를 위한 접합층을 제공하기 위하여 연마에 의하여 제거될 수 있다. 상술한 바와 같이 접합층은 변환층이기 때문에, 분자접합에 기인한 개선된 접합력을 소유한다.
도 3은 처리요소상에 보호 배리어를 형성하는 방법을 나타낸다. 이 방법은 스텝 510으로 시작하는 플로우차트 500내에 나타내어져 있으며, 여기에서 접합층은 처리요소의 적어도 하나의 표면에 인가된다. 상술한 처리요소는 예를 들면, 가공, 연삭 및 연마공정중의 하나를 사용하여 제조될 수 있다. 예를 들어, 상술한 각 처리요소는 밀링등을 포함하는 공지의 기술을 사용하여 기계적인 도면에 표현된 사양에 따라서 가공될 수 있다. 예를 들어 밀링을 사용한 부품의 가공을 위한 기술들은 그러한 재료의 가공분야의 당업자에게는 주지된 것이다. 처리요소는, 금속, 실리콘계 재료 및 세라믹중의 하나를 포함하여 구성될 수 있다. 처리요소는, 예를 들면 알루미늄을 포함하여 구성될 수 있다. 접합층은 케로나이트 방법에 의하여 형성될 수 있다. 예를 들어, 케로나이트에 의한 층은 처리요소를 마스킹하고, 액체 전해질내에서의 플라즈마 방출에 의하여 금속표면을 단단하고, 치밀하며 접착적인 세라믹으로 변형하기 위하여 젖은 화학물질 전해욕내에 처리요소를 담그는 플라즈마 전해법(PEO)을 통하여 형성될 수 있다. 선택적으로, 접합층내에 (제 3의) 더 유연하고, 더 다공성인 층이 연마에 의하여 제거될 수 있다.
스텝 520에 있어서, 보호층이 접합층에 인가된다. 보호층은, 예를 들어 산화이트륨을 포함하여 구성되며, 세라믹 분무코팅분야의 당업자에게 주지된 (열적) 분무코팅기술을 사용하여 형성될 수 있다. 선택적인 실시예에 있어서, 보호층을 형성하는 것은 열분무 코팅을 연마하는 것을 더 포함하여 구성될 수 있다. 예를 들어, 열분무 코팅을 연마하는 것은 분무된 표면에 샌드페이퍼를 가하는 것을 포함하여 구성될 수 있다.
비록 본 발명의 특정한 예시적인 실시예들만이 상기에서 상세하게 기술되었으나, 당업자라면 본 발명의 신규한 기술내용 및 장점으로부터 벗어나지 않고서 예시적인 실시예내에서 많은 변형이 가능함을 용이하게 알 수 있을 것이다. 따라서, 그러한 모든 변형들은 본 발명의 범위에 속하는 것을 의도한 것이다.

Claims (19)

  1. 처리를 행하기 위한 처리시스템내에서 사용되는 처리요소상의 보호배리어로서: 상기 처리요소에 결합되고, 플라즈마 전해산화법(Plasma electrolytic oxidation)를 사용하여 형성되는 적어도 전이층(transition layer) 및 주된 층(primary layer)을 포함하여 구성되는 접합층; 및,
    상기 접합층에 결합되고, 처리에 노출되도록 구성되는 보호층을 포함하여 구성되는 보호배리어.
  2. 삭제
  3. 제 1 항에 있어서, 상기 접합층은 상기 주된 층 상에 형성되는 외부층을 더 포함하여 구성되는 보호배리어.
  4. 제 3 항에 있어서, 상기 보호층은, 가공, 연삭 및 모래분출연마(grit blasting)공정중의 적어도 하나를 사용하여 상기 외부층의 적어도 일부를 제거한 후에 상기 접합층에 결합되는 보호배리어.
  5. 제 1 항에 있어서, 상기 보호층은 3족원소 및 란탄족 원소중의 적어도 하나를 포함하는 화합물을 포함하여 구성되는 보호배리어.
  6. 제 5 항에 있어서, 상기 3족 원소는 이트륨(Yttrium), 스칸디움(Scandium) 및 란탄(Lanthanum)중의 적어도 하나를 포함하여 구성되는 보호배리어.
  7. 제 5 항에 있어서, 상기 란탄족 원소는 세륨(Cerium), 디스프로슘(Dysprosium) 및 유로퓸(Europium)중의 적어도 하나를 포함하여 구성되는 보호배리어.
  8. 제 1 항에 있어서, 상기 보호층은 Al2O3, 산화이트륨(Yttria: Y2O3), Sc2O3, Sc2F3,, YF3, La2O3 , CeO2, Eu2O3 및 DyO3 중의 적어도 하나를 포함하여 구성되는 보호배리어.
  9. 제 1 항에 있어서, 처리요소는, 금속, 실리콘계 재료 및 세라믹중의 적어도 하나를 포함하여 구성되는 보호배리어.
  10. 제 1 항에 있어서, 처리요소는 알루미늄을 포함하여 구성되는 보호배리어.
  11. 제 1 항에 있어서, 처리는 플라즈마처리를 포함하여 구성되는 보호배리어.
  12. 처리를 행하기 위한 처리시스템내에서 사용되는 처리요소상의 보호배리어의 형성방법으로서:
    플라즈마 전해산화법을 포함하여 구성되는 방법에 의하여 처리요소상에 적어도 전이층 및 주된 층을 포함하는 접합층을 인가하는 공정; 및,
    상기 접합층에 보호층을 인가하는 방법을 포함하여 구성되는 보호배리어의 형성방법.
  13. 삭제
  14. 제 12 항에 있어서, 상기 접합층은 상기 주된 층 상에 형성되는 외부층을 더 포함하여 구성되는 보호배리어의 형성방법.
  15. 제 14 항에 있어서, 상기 외부층은, 가공, 연삭 및 모래분출연마(grit blasting)공정중의 적어도 하나에 의하여 제거되는 보호배리어의 형성방법.
  16. 제 12 항에 있어서, 상기 보호층은 3족원소 및 란탄족 원소중의 적어도 하나를 포함하는 화합물을 포함하여 구성되는 보호배리어의 형성방법.
  17. 제 16 항에 있어서, 상기 3족 원소는 이트륨(Yttrium), 스칸디움(Scandium) 및 란탄(Lanthanum)중의 적어도 하나를 포함하여 구성되는 보호배리어의 형성방법.
  18. 제 16 항에 있어서, 상기 란탄족 원소는 세륨(Cerium), 디스프로슘(Dysprosium) 및 유로퓸(Europium)중의 적어도 하나를 포함하여 구성되는 보호배리어의 형성방법.
  19. 제 12 항에 있어서, 상기 보호층은 Al2O3, 산화이트륨(Yttria: Y2O3), Sc2O3, Sc2F3,, YF3, La2O3 , CeO2, Eu2O3 및 DyO3 중의 적어도 하나를 포함하여 구성되는 보호배리어의 형성방법.
KR1020057018488A 2003-03-31 2004-03-18 처리요소용 배리어층 및 그의 형성방법 KR101016913B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US45843903P 2003-03-31 2003-03-31
US60/458,439 2003-03-31

Publications (2)

Publication Number Publication Date
KR20050120681A KR20050120681A (ko) 2005-12-22
KR101016913B1 true KR101016913B1 (ko) 2011-02-22

Family

ID=33310685

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057018488A KR101016913B1 (ko) 2003-03-31 2004-03-18 처리요소용 배리어층 및 그의 형성방법

Country Status (5)

Country Link
US (1) US7291566B2 (ko)
JP (1) JP4532479B2 (ko)
KR (1) KR101016913B1 (ko)
TW (1) TWI243419B (ko)
WO (1) WO2004095532A2 (ko)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
JP4666575B2 (ja) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 セラミック溶射部材の製造方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材
KR20080028498A (ko) * 2005-08-22 2008-03-31 도카로 가부시키가이샤 열방사 특성 등이 우수한 용사 피막 피복 부재 및 그 제조방법
JP4555865B2 (ja) * 2005-08-22 2010-10-06 トーカロ株式会社 耐損傷性等に優れる溶射皮膜被覆部材およびその製造方法
JP4571561B2 (ja) 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
US7648782B2 (en) * 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
US7850864B2 (en) 2006-03-20 2010-12-14 Tokyo Electron Limited Plasma treating apparatus and plasma treating method
JP4643478B2 (ja) * 2006-03-20 2011-03-02 トーカロ株式会社 半導体加工装置用セラミック被覆部材の製造方法
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US20110005922A1 (en) * 2009-07-08 2011-01-13 Mks Instruments, Inc. Methods and Apparatus for Protecting Plasma Chamber Surfaces
US8888982B2 (en) 2010-06-04 2014-11-18 Mks Instruments Inc. Reduction of copper or trace metal contaminants in plasma electrolytic oxidation coatings
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
JP6858754B2 (ja) * 2015-08-26 2021-04-14 エシコン エルエルシーEthicon LLC 様々な組織圧縮用隙間及びステープル成形用隙間を含むステープルカートリッジアセンブリ
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US20180327892A1 (en) 2017-05-10 2018-11-15 Applied Materials, Inc. Metal oxy-flouride films for chamber components
JP2021531410A (ja) * 2018-07-26 2021-11-18 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ構成要素のための表面コーティング
CN111410562B (zh) * 2019-09-10 2022-12-30 包头稀土研究院 带有稀土氟氧化物涂层的碱土氧化物坩埚的制备方法
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
CN112301402A (zh) * 2020-11-02 2021-02-02 东北大学 一种新型镁合金热障涂层及其制备方法
WO2023115572A1 (zh) * 2021-12-24 2023-06-29 华为技术有限公司 部件的制备方法、等离子体处理装置
CN115304305B (zh) * 2022-08-24 2023-07-25 庐江县新创新型材料有限公司 一种有机-无机复合早强剂及其制备方法
CN117567161A (zh) * 2023-11-30 2024-02-20 湖南省新化县长江电子有限责任公司 一种高耐磨气阀片陶瓷材料的制备方法

Family Cites Families (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US239495A (en) * 1881-03-29 hayden
US814495A (en) * 1904-06-01 1906-03-06 Gustaf Adam Wiman Paddle-wheel.
US841838A (en) * 1906-10-10 1907-01-22 John R Williams Metal-plate bending or forming machine.
US4310390A (en) 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4269903A (en) * 1979-09-06 1981-05-26 General Motors Corporation Abradable ceramic seal and method of making same
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPH065155B2 (ja) 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
EP0407945B1 (en) 1989-07-11 1995-01-04 Sony Corporation Method of heat-treating an oxide optical crystal and a heat treatment apparatus for carrying out the same
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5198532A (en) * 1991-03-19 1993-03-30 Shell Oil Company Polycondensation of epihalohydrin and polyhydric alcohols and thermal condensation to form polyethercyclicpolyols
CA2097222A1 (en) 1992-06-01 1993-12-02 Somyong Visaisouk Particle blasting utilizing crystalline ice
US5423936A (en) 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
DE69330719T2 (de) 1992-12-28 2002-07-04 Nippon Zeon Co Gegenstand mit harter beschichtung und verfahren zu seiner herstellung
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
US5895586A (en) 1994-05-17 1999-04-20 Hitachi, Ltd. Plasma processing apparatus and plasma processing method in which a part of the processing chamber is formed using a pre-fluorinated material of aluminum
IL109857A (en) * 1994-06-01 1998-06-15 Almag Al Electrolytic process and apparatus for coating metals
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
EP0722919B1 (en) 1995-01-19 1999-08-11 Ube Industries, Ltd. Ceramic composite
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
TW434745B (en) 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3164200B2 (ja) 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US5892278A (en) 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
CA2205817C (en) 1996-05-24 2004-04-06 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JP3241270B2 (ja) 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5900064A (en) 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6106630A (en) * 1997-08-07 2000-08-22 Applied Materials, Inc. Ceramic-coated heating assembly for high temperature processing chamber
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP4217299B2 (ja) * 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP4037956B2 (ja) 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) * 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
WO2001012883A1 (en) * 1999-08-17 2001-02-22 Isle Coat Limited Light alloy-based composite protective multifunction coating
JP4285853B2 (ja) 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
JP3567855B2 (ja) 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
ATE246570T1 (de) * 2000-03-15 2003-08-15 Paul-Eric Preising Reinigungsverfahren und -vorrichtung für hochspannungsführende anlagenteile
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
JP4651166B2 (ja) * 2000-06-30 2011-03-16 京セラ株式会社 耐食性部材
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20040081746A1 (en) * 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
EP1343843B1 (en) * 2000-12-18 2004-10-27 Samsung Atofina Co., Ltd. Syndiotactic polystyrene compositions having improved impact strength
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6499668B2 (en) * 2000-12-29 2002-12-31 Siemens Automotive Corporation Modular fuel injector having a surface treatment on an impact surface of an electromagnetic actuator and having a terminal connector interconnecting an electromagnetic actuator with an electrical terminal
US6695929B2 (en) 2001-02-07 2004-02-24 Sumitomo Special Co., Ltd. Method of making material alloy for iron-based rare earth magnet
MXPA03007549A (es) * 2001-02-28 2003-12-04 Hoy Edgar Franklin Composiciones hidrofobicas de reologia modificada, novedosas, agentes de modificacion y metodos de fabricacion.
AU2002308302A1 (en) * 2001-03-13 2002-09-24 Merck Patent Gmbh Combination of optical films comprising a twisted a-plate and a polarizer
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
GB2386907B (en) * 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US6852433B2 (en) * 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
US20040020011A1 (en) * 2002-07-30 2004-02-05 Gee Bridge International Inc. Bi-directional hinge
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
US6900257B2 (en) * 2002-08-06 2005-05-31 General Electric Company Antistatic agents and polymer compositions derived therefrom
JP4007877B2 (ja) * 2002-08-07 2007-11-14 日本ジーイープラスチックス株式会社 ワイヤ・ケーブル被覆材用樹脂組成物
US6845278B2 (en) * 2002-08-07 2005-01-18 Kimberly-Clark Worldwide, Inc. Product attribute data mining in connection with a web converting manufacturing process
US6906127B2 (en) * 2002-08-08 2005-06-14 Amcol International Corporation Intercalates, exfoliates and concentrates thereof formed with low molecular weight; nylon intercalants polymerized in-situ via ring-opening polymerization
JP4110885B2 (ja) * 2002-08-27 2008-07-02 セイコーエプソン株式会社 液晶表示装置及びその製造方法、並びに電子機器
JP3776856B2 (ja) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US20040060779A1 (en) * 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US6703192B1 (en) * 2003-02-28 2004-03-09 Eastman Kodak Company Photographic peracid bleaching composition, processing kit, and method of use
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate

Also Published As

Publication number Publication date
JP2007524993A (ja) 2007-08-30
TW200425318A (en) 2004-11-16
TWI243419B (en) 2005-11-11
US20060183344A1 (en) 2006-08-17
WO2004095532A2 (en) 2004-11-04
US7291566B2 (en) 2007-11-06
KR20050120681A (ko) 2005-12-22
JP4532479B2 (ja) 2010-08-25
WO2004095532A3 (en) 2009-04-02

Similar Documents

Publication Publication Date Title
KR101016913B1 (ko) 처리요소용 배리어층 및 그의 형성방법
US7560376B2 (en) Method for adjoining adjacent coatings on a processing element
US7811428B2 (en) Method and apparatus for an improved optical window deposition shield in a plasma processing system
US8117986B2 (en) Apparatus for an improved deposition shield in a plasma processing system
US7166200B2 (en) Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6837966B2 (en) Method and apparatus for an improved baffle plate in a plasma processing system
US7166166B2 (en) Method and apparatus for an improved baffle plate in a plasma processing system
US7678226B2 (en) Method and apparatus for an improved bellows shield in a plasma processing system
US7001482B2 (en) Method and apparatus for improved focus ring

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140117

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150119

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160119

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170119

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee