TWI243419B - A barrier layer for a processing element and a method of forming the same - Google Patents

A barrier layer for a processing element and a method of forming the same Download PDF

Info

Publication number
TWI243419B
TWI243419B TW093107839A TW93107839A TWI243419B TW I243419 B TWI243419 B TW I243419B TW 093107839 A TW093107839 A TW 093107839A TW 93107839 A TW93107839 A TW 93107839A TW I243419 B TWI243419 B TW I243419B
Authority
TW
Taiwan
Prior art keywords
processing element
layer
scope
patent application
item
Prior art date
Application number
TW093107839A
Other languages
English (en)
Other versions
TW200425318A (en
Inventor
Gary Escher
Mark A Allen
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200425318A publication Critical patent/TW200425318A/zh
Application granted granted Critical
Publication of TWI243419B publication Critical patent/TWI243419B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)

Description

1243419 五、發明說明(1) ' ^ 一、【發明所屬之技術領域】 本發明係關於處理元件用之障壁層及其形成方法,尤 有關於處理包含有黏著層和保護層之元件用之障壁層及其 形成方法。 / 二、【 半 )的製 積材料 言,藉 之能量 且,加 以於預 定組之 於特定 基板去 雖 的形成 積等) 表面被 漿處理 逐漸退 為 漿處理 先前技術】 導體產業中 造通常使用 至基板所需 由加熱電子 ,於真空條 熱後的電子 定條件下( 氣體來產生 處理進行於 除或沉積處 然一群帶電 對實施電漿 於基板表面 暴露於物理 系統中被暴 化及最後系 了使由暴露 系統的成分 積體電 電漿以 之表面 至足以 件下將 可具有 如處理 一群帶 處理室 理,於 荷物種 處理系 上為必 及化學 露的成 統的完 於處理 (已知
路(1C, 產生及協 化學於電 維持與供 電漿形成 足以維持 室内壓力 電荷的物 内(如餘 此將材料 (如離子 統的功能 需,於處 活性的電 分之腐蝕 全故障。 電漿遭受 遭受暴露 integrated c i rcu i ts 助自基板去除材料及沉 漿反應器内。一般而 應處理氣體 於電漿反應 分離的碰撞 、氣體流速 種及化學反 刻處理,於 加至基板) 等)及化學 (即材料蝕 理室内側上 漿及(及時) 可導致電賧 離子化碰撞 裔内。而 之能量及所 等)選擇特 應性物種適 此將材料自 〇 反應性物種 刻、材料沉 的其他成份 可腐蝕。電 處理表現的 的損害減到最小,將電 於處理電漿)以保護障
第6頁 !243419 五 、發明說明(2) 化紹表 將一個消 或碳化 I擔更大 面材料使 至形成於 或由於保 成分的消 維護可導 費用,其 ^貪佈。例如可將由鋁製造的成分電鍍以產生氧 ^ α其對電漿更有抵抗力。於另一個實例中,可 發:或可替換的成分(如由矽、石英、鋁土、石炭 、衣造者)插入處理室内以保護於經常替換期間 本之更貴重的成分之表面。此外,希望選擇表 不需要的污染物、雜質等之導入處理電漿及可能 基板上的裝置減至最小。 匕 ^ 於兩個情況中,保護重層不可避免的失敗, 護障壁的完善或製造保護障壁的完善,和可替換 耗品本質要求電漿處理系統的頻繁維護。此頻繁 致與電漿處理停工期及新電漿處理室成分相關的 可為極南。 三、【發明内容】 茲說明障壁層及其形成方法。 用於進行一處理之處理系統中處理元件上之保護障壁 包含有:結合層連結於處理元件,其中結合層包含有利用 電漿電解氧化形成的層;及保護層連結於結合層及安裝成 暴露於處理。 形成用於進行一處理之處理系統中處理元件上之保護 障壁的方法包含有··運用結合層至處理元件’其中結合層 之運用包含有電漿電解氧化;及運用保護層至結合層。 四、【實施方式】
1243419 五、發明說明(3) 將電漿處理系統1,如能夠電漿蝕刻者,描述在圖1 中,包括處理室10、上組件2〇、上壁24、支撐基板35用之 基板支架30、及連結於真空泵(未示)之抽吸導管40以提供 處理室1 0中減壓環境1 1。例如處理室丨〇可促進毗鄰基板3 5 的處理空間1 2中處理電漿的形成。可將電漿處理系統1配 置成處理各種基板(即2 〇 〇毫米基板、3 0 0毫米基板、或更 大)。 於說明的實施例中,上組件2 〇能包括至少一蓋子、氣 體射入配件、及上電極阻抗配合網路。例如可將上壁2 4配
第8頁 1243419
放射。 -1 η ί ί 5: : 〇可例如更包括由連結於基板支架3 0及處理 !; ^伸、、伯晨2環繞的垂直平移裝置50,及用來密封垂直 二移f置5〇以和處理室1 〇中減壓環境11隔開。料,可將 :縮,擋板54例如連結於基板1架3〇及肖來保護伸縮 ::處理電漿。或纟,基板支架不包括垂直平移裝 縮囊。 可進v將基板支架3 0連結於聚焦環6 〇,及視情況, 播板環56。再者,阻障板58可例如延伸環繞基板支架⑽ 周圍。 可將基板35例如經由機械臂基板轉移系統通過狹縫閥 (未圖示)及處理室穿通孔(未圖示)移入及移出處理室 1 〇,於此將其由位於基板支架30内之基板升起栓(未圖示 )接受及由位於其中的裝置機械上平移。—旦將基板35自 基板轉移系統接受後,將其降低至基板支架3〇的上表面。 例如可將基板3 5經由靜電夾緊系統固定至基板支架 ^。此外,例如基板支架30可更包括冷卻系統,包括接受 來自基板支架3 0的熱及轉移熱至熱交換器系統(未圖示 \二或當加熱,轉移熱自熱交換器系統至基板支架3 0的再 =% ~部劑流。而且,可將氣體例如經由背部氣體系統傳 遞至基板35的背部以改進基板35和基板支架3〇之間的氣體 熱傳導性。當基板的溫度控制必需在升高或減低的溫 又日守可運用此系統。在其它實施例中,可包括加熱元件, 如電阻加熱元件,或熱電子加熱器/冷卻器。
第9頁 1243419
五、發明說明(5) 妬雷ί說明的實施例中(圖1中所示),基板支架3〇可包 祜冤極(未圖示),經此將RF電力連結於處理空間12 ^處,電漿。例如可將基板支架30、經由RF電力自rf產生 :輸阻抗配合網路(未圖示)至基板支架 得輸KF電力電偏壓於RF電壓。RF偏壓可用於加熱電 m持電漿。.於此配置巾,系統可操作為反應性離子姓 = ,reactive i〇n etch)反應器,其中處理室和上 j =體射入電極作為接地表面。一個RF偏壓典型的頻率可 能靶圍從1兆赫至100兆赫,例如13· 56兆赫。電漿處理用 之評系統對熟悉本技藝者而言為已熟知。 '里用 或=’可將形成於處理空間丨2中的處理電漿利用平行 ,、電谷耦合電漿(ccp,capacitively ⑶叩led piwma 源電感輕合電聚(ICP, inductively coupled pUsma )源、變壓器耦合電漿(TCP, transformer ⑶up led pi asma )源、其任意組合,及有或無Dc磁鐵系統 而形成:或者’可將形成於處理空間1 2中的處理電漿利用 電子方疋加速為共振(Ecr,electron cyclotron resonance )而形成。於再另一實施例中,將處理空間12 中的處理電漿自螺旋波的發射而形成。於再另一實施例 中’將處理空間1 2中的處理電漿自傳播的表面波而形 成。 ^參知圖1 ’電漿處理設備1包括一或多個處理元 件’可將其各暴露於處理空間1 2中的處理電漿,及因此於 處理期間叉到可能的腐蝕。例如一或多個處理元件可包括
第ίο頁 1243419 五、發明說明(6) ' -------- 電極板、、、"拉、, 光風、* ’儿積擋板、處理室内襯、伸縮囊擋板、阻障板、 予視窗沉積擋板、擋環、聚焦環等。為了減輕暴 J里 jr 南 H ^ 卞叉處理電漿和基板的任何隨後污染的腐蝕,將 元件覆蓋保護障壁。 ^處理 於一實施例中,如描述於圖2,一個處理元件丨〇 〇包括 一個保護障壁11 〇,其具有抵抗電漿腐蝕之保護層1 2 0,及 黏合層1 3 0,其改善保護層丨2 〇對處理元件丨〇 〇的黏附力以 減輕由保遵層失效可能的處理污染。 保護層120可例如包括至少AiJ3和\〇3的當中一個。於 本發明另一個實施例中,保護層包括丨丨I行元素(週期表的 ¥ 第I Π行)及鑭系元素之至少一者。於本發明另一個實施例 中,III行元素包括釔、銃、和鑭之至少一者。於本發明 另一個貫施例中’鋼糸元素包括飾、鋼、和銷之至少一 者。於本發明另一個實施例中,形成保護層之化合物包括 氧化釔(Y2 03 )、Sc2 03、Sc2F3、YF3、La2 03、Ce02、Eu2 03、和
Dy03之至少一者。 黏合層為例如Keroni te (表面塗層處理,市面上可購 自Keron ite有限公司,先進表面技術、郵政信箱70 0,
Granta Park,Great Abington,劍橋CB1 6ZY,英國)。 一般而言,黏合層可包括三層,包括:稀過渡層在(金屬) 處理元件和陶瓷之間、提供堅硬的密集主要層、和更軟、 更多孔的外層。更軟、更多孔的外層(其典型包括高達1 0 到2 0 %總黏合層厚度)可错抛光而去除以便提供黏合表面 以塗佈保護層。因為黏合層(如所描述)為轉換層,它由於
第11頁 1243419 五、發明說明(7) 分子黏合擁有改善的黏附力性質。 口 圖3呈現在處理元件上形成保護.障壁之方法。將方法 壬現於流程圖5 0 0中,以步驟5 1 0開始,其中將黏合層塗佈 ^ =理元件的至少一個表面。可將處理元件例如使用機器 製造、拋光、和研磨之至少一者而製造。例如上述之處理 凡=可根據於機械圖上提出的規格,利用習見技術包括銑 ,等 > 用機器製造。利用例如銑床之用機器製造成分的技 術對熟悉機械製造此材料的技藝者為已熟知。處理元件可 u括至屬以矽為基本的材料、和陶瓷之至少一者。處理 元件可例如包括鋁。黏合層可包括“⑺心“。例如可將 yonite層經由電漿電解氧化作用(ρΕ〇, = 形成,其包括遮蔽處理元件和 ΐ Σ換:屬表S' :化學電解浴以藉於液體電解質中電漿放 电艾換孟屬表面成一堅硬、宓隹 藉拋光可將黏合層中的(第ϋ:者'陶瓷。視情況’ 在步糊中,將保孔的層去除。 於是,要 陶麵塗佈技藝者r已之術形成’其對熟悉 護障壁可進-步包括拋光熱喷霧塗展—貫施例形成保 層可包括應用沙紙至被喷霧的表面=。例如拋光熱喷霧塗 雖然僅已將本發明的某些例示 熟悉本技藝者將輕易地了解於例示告&列於上詳細描述, 能的而不實質上偏離本發明新穎的1,例中許多修改是可 將所有此類修改包括於本發明的範圍=和優點 第]2頁 1243419 圖式簡單說明 五' 【圖式簡單說明】 圖1說明根據本發明之實施例一電漿處理系統之示意 方塊圖; 圖2呈現圖1中所示電漿處理系統中一部份處理元件之 放大橫剖面圖;及 圖3呈現根據本發明之實施例於電漿處理系統中的處 理元件上形成保護層之方法。 % 元件符號說明: 卜電漿處理系統 1 0〜處理室 11〜減壓環境 1 2〜處理空間 1 4〜沉積擋板 1 6〜光學視埠 17〜光學視窗 1 8〜光學視窗沉積擋板 1 9〜光學視窗凸緣 2 0〜上組件 24〜上壁 3 0〜基板支架 3 5〜基板 4 0〜抽吸導管 5 0〜垂直平移裝置
第13頁 1243419 圖式簡單說明 5 2〜伸縮囊 5 4〜伸縮囊擋板 5 6〜擋板環 5 8〜阻障板 6 0〜聚焦環 7 0〜開口 I 0 0〜處理元件 II 0〜保護障壁 1 2 0〜保護層 1 3 0〜黏合層

Claims (1)

1243419 六、申請專利範圍 1. 一種處理元件上的保護障壁,用於實施一處理之處理 系統中,包含: 一黏合層,連結於該處理元件,其中該黏合層包含利 用電漿電解氧化而形成的層;以及 一保護層,連結於該黏合層及用來暴露於該處理。 2. 如申請專利範圍第1項之處理元件上的保護障壁,其 中,該黏合層包含Keronite。 .如申請專利範圍第2項之處理元件上的保護障壁,其 主要層、及一外層 中,該Keronite包含一過渡層 4.如申請專利範圍第3項之處理元件上的保護障壁,其 中,在利用拋光、研磨、及喷粒之至少一種方式將該外層 的至少一部份去除後,將該保護層連結於該黏合層。 5.如申請專利範圍第1項之處理元件上的保護障壁,其 中,該保護層包括含有I I I行元素及鑭系元素之至少一者 的化合物。 6. 如申請專利範圍第5項之處理元件上的保護障壁,其 中,該I I I行元素包括紀、銃、和_之至少一者。 7. 如申請專利範圍第5項之處理元件上的保護障壁,其
第15頁 1243419 ’、、申請專利範圍 Λ鑭系凡素包括鈽、鏑、和銪之至少—者 中如申請專利範圍第丨項之處理元件 中,4 Θ不丄$ <慝理兀件上的保罐昧 甲该保護層包括AW、氧化紀ΓΥ0保❸早 、I^ η 3 虱化釔(Y2〇3)、Sc2(L、ς e 2、Eu2〇3、和j)y〇3之至少一者 YF3、La9 壁,其 SC2〇3、Sc2F3、 卜,?虚=”第1項之處理元件上的保護 的材料、和陶瓷之 中 至少一者 處理元件包括金屬:口基Γ俯護障壁’其 第1項之處理元件上的保護障*,其 中, 該處第1項之處理元件上的保護障 壁,其 12. -严:種ΐ處理元件上形成保護障壁的方法,庫用於每 處理之處理系統中,包含: 應用於貫施 塗佈一黏合層至該處理 包含電漿電解氧化作用;以及件,、中°亥黏5層之塗佈 塗佈一保護層至該黏合層。 ^ + 士申°月專利範圍第1 2項之在處理元件上形成伴1 ^ 的方法’其中該黏合層包含Keronite。 /成保遠障壁
第16頁 1243419 六、申請專利範圍 14.如申請專利範圍第1 3項之在處理元件上形成保護障壁 的方法,其中,該Keronite包含一過渡層、一主要層、及 一外層。 15.如申請專利範圍第1 4項之在處理元件上形成保護障壁 的方法,其中,利用拋光、研磨、及喷粒之至少一種方式 將該外層去除。
16.如申請專利範圍第1 2項之在處理元件上形成保護障壁 的方法,其中,該保護層包含一化合物含有I I I行元素及 鑭系元素之至少一者。 17.如申請專利範圍第1 6項之在處理元件上形成保護障壁 的方法,其中,該I I I行元素包括記、銃、和鑭之至少一 者0 18.如申請專利範圍第1 6項之在處理元件上形成保護障壁 的方法,其中,該鑭系元素包括鈽、鏑、和銪之至少一 者0 19.如申請專利範圍第1 2項之在處理元件上形成保護障壁 的方法,其中,該保護層包括A 12 03、氧化纪(Y2 03 )、S c2 03 ' Sc2F3、YF3、La2 03、Ce02、Eu2 03、和Dy03 之至少一者。
TW093107839A 2003-03-31 2004-03-23 A barrier layer for a processing element and a method of forming the same TWI243419B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US45843903P 2003-03-31 2003-03-31

Publications (2)

Publication Number Publication Date
TW200425318A TW200425318A (en) 2004-11-16
TWI243419B true TWI243419B (en) 2005-11-11

Family

ID=33310685

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093107839A TWI243419B (en) 2003-03-31 2004-03-23 A barrier layer for a processing element and a method of forming the same

Country Status (5)

Country Link
US (1) US7291566B2 (zh)
JP (1) JP4532479B2 (zh)
KR (1) KR101016913B1 (zh)
TW (1) TWI243419B (zh)
WO (1) WO2004095532A2 (zh)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
CN1249789C (zh) 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
JP4666575B2 (ja) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 セラミック溶射部材の製造方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材
JP4555864B2 (ja) * 2005-08-22 2010-10-06 トーカロ株式会社 熱放射特性等に優れる溶射皮膜被覆部材およびその製造方法
US8231986B2 (en) 2005-08-22 2012-07-31 Tocalo Co., Ltd. Spray coating member having excellent injury resistance and so on and method for producing the same
JP4571561B2 (ja) 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
US7850864B2 (en) 2006-03-20 2010-12-14 Tokyo Electron Limited Plasma treating apparatus and plasma treating method
US7648782B2 (en) * 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
JP4643478B2 (ja) * 2006-03-20 2011-03-02 トーカロ株式会社 半導体加工装置用セラミック被覆部材の製造方法
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US20110005922A1 (en) * 2009-07-08 2011-01-13 Mks Instruments, Inc. Methods and Apparatus for Protecting Plasma Chamber Surfaces
US8888982B2 (en) 2010-06-04 2014-11-18 Mks Instruments Inc. Reduction of copper or trace metal contaminants in plasma electrolytic oxidation coatings
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
RU2725747C2 (ru) * 2015-08-26 2020-07-03 ЭТИКОН ЭлЭлСи Узел кассеты со скобами, содержащий различные зазоры для сжатия ткани и зазоры для формирования скоб
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US10563303B2 (en) 2017-05-10 2020-02-18 Applied Materials, Inc. Metal oxy-flouride films based on oxidation of metal flourides
KR20210025708A (ko) * 2018-07-26 2021-03-09 램 리써치 코포레이션 플라즈마 프로세싱 챔버 컴포넌트들을 위한 표면 코팅
CN111410562B (zh) * 2019-09-10 2022-12-30 包头稀土研究院 带有稀土氟氧化物涂层的碱土氧化物坩埚的制备方法
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
CN112301402A (zh) * 2020-11-02 2021-02-02 东北大学 一种新型镁合金热障涂层及其制备方法
WO2023115572A1 (zh) * 2021-12-24 2023-06-29 华为技术有限公司 部件的制备方法、等离子体处理装置
CN115304305B (zh) * 2022-08-24 2023-07-25 庐江县新创新型材料有限公司 一种有机-无机复合早强剂及其制备方法
CN117567161A (zh) * 2023-11-30 2024-02-20 湖南省新化县长江电子有限责任公司 一种高耐磨气阀片陶瓷材料的制备方法

Family Cites Families (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US239495A (en) * 1881-03-29 hayden
US814495A (en) * 1904-06-01 1906-03-06 Gustaf Adam Wiman Paddle-wheel.
US841838A (en) * 1906-10-10 1907-01-22 John R Williams Metal-plate bending or forming machine.
US4310390A (en) * 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4269903A (en) * 1979-09-06 1981-05-26 General Motors Corporation Abradable ceramic seal and method of making same
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPH065155B2 (ja) * 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) * 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
EP0407945B1 (en) * 1989-07-11 1995-01-04 Sony Corporation Method of heat-treating an oxide optical crystal and a heat treatment apparatus for carrying out the same
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5198532A (en) * 1991-03-19 1993-03-30 Shell Oil Company Polycondensation of epihalohydrin and polyhydric alcohols and thermal condensation to form polyethercyclicpolyols
AU4302893A (en) 1992-06-01 1993-12-30 Ice Blast International Ltd. Particle blasting utilizing crystalline ice
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
WO1994014878A1 (en) * 1992-12-28 1994-07-07 Nippon Zeon Co., Ltd. Molding with hard-coating layer and process for producing the same
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) * 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5484752A (en) * 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) * 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
WO1995031822A1 (fr) * 1994-05-17 1995-11-23 Hitachi, Ltd. Dispositif et procede de traitement au plasma
IL109857A (en) * 1994-06-01 1998-06-15 Almag Al Electrolytic process and apparatus for coating metals
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5902763A (en) * 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US5759360A (en) * 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
TW323387B (zh) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3208044B2 (ja) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
EP0777258A3 (en) * 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) * 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) * 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5892278A (en) * 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JP3241270B2 (ja) * 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) * 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) * 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6106630A (en) * 1997-08-07 2000-08-22 Applied Materials, Inc. Ceramic-coated heating assembly for high temperature processing chamber
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP4217299B2 (ja) * 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) * 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP4037956B2 (ja) * 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) * 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) * 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) * 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) * 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
CN1367849A (zh) * 1999-08-17 2002-09-04 岛屿涂层有限公司 轻合金基复合防护多功能涂层
JP4285853B2 (ja) * 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6519037B2 (en) * 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
JP3567855B2 (ja) * 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
US6863594B2 (en) * 2000-03-15 2005-03-08 Paul-Eric Preising Method and device for cleaning high-voltage carrying installation component parts
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
JP4651166B2 (ja) * 2000-06-30 2011-03-16 京セラ株式会社 耐食性部材
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20040081746A1 (en) * 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6875804B2 (en) * 2000-12-18 2005-04-05 Samsung Atofina Co., Inc. Syndiotactic polystyrene compositions having improved impact strength
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6499668B2 (en) * 2000-12-29 2002-12-31 Siemens Automotive Corporation Modular fuel injector having a surface treatment on an impact surface of an electromagnetic actuator and having a terminal connector interconnecting an electromagnetic actuator with an electrical terminal
DE60228198D1 (de) * 2001-02-07 2008-09-25 Hitachi Metals Ltd Verfahren zur herstellung einer metalllegierung für einen seltenerdmagneten auf eisenbasis
CA2436673A1 (en) * 2001-02-28 2002-09-06 Edgar Franklin Hoy Novel rheology modified hydrophobic compositions, modification agents, and methods of making
WO2002073301A2 (en) * 2001-03-13 2002-09-19 Merck Patent Gmbh Combination of optical films comprising a twisted a-plate and a polarizer
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
GB2386907B (en) * 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US6852433B2 (en) * 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
US20040020011A1 (en) * 2002-07-30 2004-02-05 Gee Bridge International Inc. Bi-directional hinge
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
US6900257B2 (en) * 2002-08-06 2005-05-31 General Electric Company Antistatic agents and polymer compositions derived therefrom
US6845278B2 (en) * 2002-08-07 2005-01-18 Kimberly-Clark Worldwide, Inc. Product attribute data mining in connection with a web converting manufacturing process
JP4007877B2 (ja) * 2002-08-07 2007-11-14 日本ジーイープラスチックス株式会社 ワイヤ・ケーブル被覆材用樹脂組成物
US6906127B2 (en) * 2002-08-08 2005-06-14 Amcol International Corporation Intercalates, exfoliates and concentrates thereof formed with low molecular weight; nylon intercalants polymerized in-situ via ring-opening polymerization
JP4110885B2 (ja) * 2002-08-27 2008-07-02 セイコーエプソン株式会社 液晶表示装置及びその製造方法、並びに電子機器
JP3776856B2 (ja) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US20040060779A1 (en) * 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US6703192B1 (en) * 2003-02-28 2004-03-09 Eastman Kodak Company Photographic peracid bleaching composition, processing kit, and method of use
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate

Also Published As

Publication number Publication date
WO2004095532A3 (en) 2009-04-02
WO2004095532A2 (en) 2004-11-04
US7291566B2 (en) 2007-11-06
US20060183344A1 (en) 2006-08-17
KR20050120681A (ko) 2005-12-22
JP2007524993A (ja) 2007-08-30
JP4532479B2 (ja) 2010-08-25
TW200425318A (en) 2004-11-16
KR101016913B1 (ko) 2011-02-22

Similar Documents

Publication Publication Date Title
TWI243419B (en) A barrier layer for a processing element and a method of forming the same
CN101422088B (zh) 用于减少等离子体处理系统中的副产品沉积的方法和装置
KR101502637B1 (ko) 반도체 재료 프로세싱 장치의 알루미늄-도금 컴포넌트 및 그 컴포넌트의 제조 방법
TWI381415B (zh) 半導體材料處理裝置之氧化釔鍍膜陶瓷元件以及製造該等元件之方法
KR100899965B1 (ko) 저오염의 플라즈마 챔버 부품 및 그 제조방법
CN100555550C (zh) 等离子加工系统中的改进的上电极板的方法和装置
US20230122695A1 (en) Corrosion resistant ground shield of processing chamber
US7811428B2 (en) Method and apparatus for an improved optical window deposition shield in a plasma processing system
CN109427576A (zh) 蚀刻方法
CN201025611Y (zh) 用于衬底处理室的带状屏蔽
TW202206275A (zh) 稀土氧化物系抗電漿腐蝕薄膜塗層
TW200424066A (en) A method for adjoining adjacent coatings on a processing element
TW200423212A (en) Method and apparatus for reducing substrate backside deposition during processing
WO2004030012A2 (en) Improved bellows shield in a plasma processing system,and method of manufacture of such bellows shield
TW202117054A (zh) 於製程腔室表面或部件上形成保護塗層之方法
US10774006B2 (en) Microwave and induction heat treatment of ceramic coatings
JP2021534587A (ja) 処理チャンバ用コーティング材料
CN104241181B (zh) 静电吸盘的制造方法,静电吸盘及等离子体处理装置
CN104241182B (zh) 静电吸盘的制造方法,静电吸盘及等离子体处理装置
JP2004292887A (ja) プラズマ処理容器内部材の製造方法、およびそれにより製造されたプラズマ処理容器内部材
TWI823071B (zh) 零部件、等離子體反應裝置及零部件加工方法
JP2004296753A (ja) プラズマ露出部品及びその表面処理方法並びにプラズマ処理装置
JP2008172270A (ja) プラズマ露出部品及びプラズマ処理装置
KR20060118768A (ko) 반도체 디바이스 제조를 위한 식각장비

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees