KR100899965B1 - 저오염의 플라즈마 챔버 부품 및 그 제조방법 - Google Patents

저오염의 플라즈마 챔버 부품 및 그 제조방법 Download PDF

Info

Publication number
KR100899965B1
KR100899965B1 KR1020037008659A KR20037008659A KR100899965B1 KR 100899965 B1 KR100899965 B1 KR 100899965B1 KR 1020037008659 A KR1020037008659 A KR 1020037008659A KR 20037008659 A KR20037008659 A KR 20037008659A KR 100899965 B1 KR100899965 B1 KR 100899965B1
Authority
KR
South Korea
Prior art keywords
plasma
coating
reactor
substrate
chamber
Prior art date
Application number
KR1020037008659A
Other languages
English (en)
Other versions
KR20030066769A (ko
Inventor
창크리스토퍼씨.
세거로버트제이.
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20030066769A publication Critical patent/KR20030066769A/ko
Application granted granted Critical
Publication of KR100899965B1 publication Critical patent/KR100899965B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02TCLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO TRANSPORTATION
    • Y02T50/00Aeronautics or air transport
    • Y02T50/60Efficient propulsion technologies, e.g. for aircraft
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal
    • Y10T428/31681Next to polyester, polyamide or polyimide [e.g., alkyd, glue, or nylon, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31721Of polyimide

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)

Abstract

폴리머 부착을 향상시키는 표면 거칠기 특성을 갖는 플라즈마 노출 표면을 갖는 플라즈마 공정 챔버에서 사용하기 위한 부품들. 거칠어진 표면은 부품의 표면위로 세라믹 또는 고온 폴리머와 같은 코팅 물질을 플라즈마 분사시킴으로써 형성된다. 본 발명의 플라즈마 분사된 부품은 공정 동안에 플라즈마에 노출된 표면을 갖는 플라즈마 반응기 부품을 위해 사용될 수 있다. 적합한 부품으로서, 챔버 벽, 챔버 라이너, 배플링, 가스분산판, 플라즈마 제한링 및 라이너 지지대를 포함한다. 폴리머의 부착을 향상시킴으로서, 플라즈마 분사된 부품 표면은 공정 챔버내에서 파티클 오염의 수준을 감소시킬 수 있으며, 따라서 수율을 향상시키고, 챔버 부품에 대한 세정 및/또는 교체에 요구되는 정지시간을 단축시킬 수 있다.

Description

저오염의 플라즈마 챔버 부품 및 그 제조방법{Low contamination plasma chamber components and methods for making the same}
본 발명은 반도체 웨이퍼의 제조에 관련있으며, 보다 상세하게는 공정 동안에 파티클 오염을 감소시키는 부품들을 구비하는 플라즈마 식각 챔버에 관한 것이다.
반도체 공정 분야에서 진공의 공정 챔버들은, 일반적으로 진공 챔버로 식각가스 또는 증착가스를 공급하고, 그 가스를 플라즈마 상태로 활성화하기 위해 가스에 RF 필드를 적용함으로써 기판상의 물질을 식각 및 기판상에 물질을 화학기상증착(CVD)하기 위해 사용된다. 평행판, 유도결합 플라즈마(ICP)라고도 불리는 트랜스포머 결합 플라즈마 (TCPTM), 전자-사이크로트론 공명(ECR) 반응기와 그들의 부품들의 예들이 공동 소유로 된 미국 특허 제4,340,462호, 제4,948,458호, 제5,200,232호, 및 제5,820,723호에 개시되어 있다.
반도체 집적회로의 제조에 있어서, 트랜지스터와 같은 구성 소자들이 통상적으로 실리콘으로 만들어지는 반도체 웨이퍼 또는 기판상에 형성될 수도 있다. 이어서 통상적으로 웨이퍼 위로 배치된 금속층이 식각됨으로써 형성된 금속 상호연결선들이 원하는 회로를 형성하기 위하여 소자들을 함께 결합하기 위해 채용될 수도 있다. 금속층들은 통상적으로 구리, 알루미늄 또는 Al-Cu, Al-상 인쿼리(Inquiry), Al-Cu-상 인쿼리와 같은 주지의 알루미늄 합금 중의 하나를 포함한다. 반사 방지 코팅(ARC) 층 및 전면에 형성되는 포토레지스트 (PR) 층이 금속층의 상부상에 형성될 수도 있다. ARC층은 통상적으로 TiN 또는 TiW와 같은 티타늄 함유층을 포함한다. 전술한 금속 상호연결선들을 형성하기 위해, 금속층을 포함하는 적층구조의 층들의 일부가 적절한 포토레지스트 기술을 사용하여 식각될 수도 있다. 그리고 마스크에 의해 보호되지 않는 금속층의 영역은 적절한 식각가스를 사용하여 식각해 버릴 수 있으며, 금속 상호연결선들 또는 피춰(features)들이 뒤에 남겨진다.
회로 밀도를 높히기 위하여, 최근의 집적회로들은 현격하게 좁아진 디자인 룰로 스케일된다. 결과적으로 피춰의 크기, 즉 상호연결선 또는 인접한 상호연결선 사이의 공간(예를 들어 트랜치)의 폭은 점진적으로 감소된다. 최근의 집적회로의 좁아진 도전 라인들을 형성하기 위해 고도의 이방성 식각이 요청된다. 식각 이방성은 수평 식각율과 비교된 수직 식각율을 말한다. 수직적인 측벽들을 갖는 고 어스펙트비의 피춰들을 형성하기 위해서는 수직 식각율이 수평 식각율 보다 현저히 커야 한다. 플라즈마 식각에서 수직 프로파일들은 흔히 측벽 보호 기술을 사용하여 얻어질 수 있다. 그러한 기술들은 통상적으로 식각 동안에 폴리머 형성 종(통상적으로 CF4, CHF3, C4F8과 같은 플루오로카본)을 반응 챔버내로 도입하는 것과 관련있다. 식각 동안에 형성되는 폴리머는 식각되는 피춰의 측벽상에 우선적으로 증착되어 기판의 수평 식각을 감소시키고 식각 이방성을 증가시킨다. 그러나 식각 공정 동안에 폴리머 증착물들은 플라즈마에 노출된 식각 챔버의 여러가지 부품들의 내측 표면상에도 형성될 수 있다. 시간이 경과함에 따라 이러한 폴리머 증착물들은 얇은 조각으로 되거나 벗겨져 플라즈마 반응기 내에서 파티클 오염물의 소오스로 된다.
플라즈마 반응기의 내측에 형성된 폴리머 증착물들은 통상적으로 탄소 화합물들의 고리 분자들을 포함한다. 폴리머가 처리되어질 기판과 접촉하여 부착되면 기판의 그 부분을 오염시킬 수 있으며, 그로부터 다이 수율(die yield)을 감소시킨다. 폴리머 증착물들은 모든 챔버 표면상, 특히 챔버 커버의 하측 또는 기판 표면의 반대쪽에 있는 가스분산판 뿐만 아니라 공정가스 입구관에 인접한 챔버 하우징의 표면상에 축적될 수 있다. 챔버의 내측 표면상에 증착된 폴리머는 기판상으로 이동되어 기판 결함을 일으킬 수도 있다. 폴리머 입자 오염물은 반복되는 플라즈마 공정 사이클 동안에 반응기 부품들의 열적 사이클에 의해 더욱 심하게 된다. 플라즈마에 노출된 반응기 부품들의 표면의 반복되는 가열 및 냉각은 폴리머 증착물들과 반응기 표면간의 열팽창계수(CTE) 차이에 기인하여 부착된 폴리머 증착물들을 벗겨버리거나 얇은 조각으로 떼어버릴 수 있다. 폴리머 증착물들은 플라즈마 내에서 반응종들과의 충돌에 의해 떨어져 나갈 수도 있다.
집적회로 소자들이 그 물리적 크기 및 그들의 동작 전압이 계속적으로 축소됨에 따라 그들에 대한 제조 수율이 점점 더 파티클 오염물에 대하여 민감하게 된다. 결과적으로, 보다 작아진 물리적 크기를 갖는 집적회로 소자들은 미립자 오염 물의 수준이 이전에는 허용될 수 있는 것으로 생각된 것 보다 작을 것이 요구된다. 플라즈마 반응기내에서 파티클 오염물을 감소시키기 위해 여러가지 방법들이 채용되어 왔다. 예를 들어, 미국 특허 제5,366,585호, 제 5,391,275호, 제5,401,319호, 제5,474,649호, 제5,851,343호, 제5,916,454호, 제5,993,594호, 제6,120,640호 및 제6,155,203호 등을 참조하라.
파티클 오염물을 줄이기 위하여, 플라즈마 반응기들은 폴리머 증착물들을 제거하기 위해 주기적으로 세정될 수 있다. 플라즈마 세정 공정들은 미국 특허 제5,486,235호, 제5,676,759호 및 제5,685,916호에 개시되어 있다. 게다가 플라즈마 반응기 부품들은 통상적으로 새로운 반응기 부품들로 주기적으로 교체된다.
반응기 챔버 내의 파티클 오염물의 수준을 감소시키는 플라즈마 반응기 부품들을 제공한다는 것은 바람직한 일일 것이다. 그러한 부품들의 사용은 수율 향상에 도움을 줄 수 있으며 그리고/또는 플라즈마 반응기 부품들의 세정 또는 교체 사이의 시간 주기를 증가시키는 데 도움을 줄 수 있다.
[발명의 요약]
본 발명자들은 플라즈마 반응기들 내에서 파티클 오염물은 세라믹과 같은 코팅 물질 또는 고온의 폴리머를 플라즈마에 노출된 반응기의 표면상에 플라즈마 분사시킴으로써 감소될 수 있다는 것을 발견하였다. 플라즈마 분사된 물질은 폴리머 증착물들의 부착을 증진시키는 바람직한 표면 거칠기 특성을 갖는 코팅을 형성한다. 챔버 표면상에 폴리머 증착물들의 향상된 부착은 챔버 표면으로부터 폴리머 증착물의 벗겨짐 또는 얇은 조각으로 벗겨지는 경향을 감소시키는 것이므로 반응기내 에서 파티클 오염물의 수준을 감소시키게 된다. 플라즈마 반응기 부품들 위로 폴리머 증착물들의 부착이 향상됨으로써, 반응기 부품들은 덜 빈번하게 세정 또는 교체될 수 있으므로 플라즈마 반응기의 가동 비용이 감소된다.
본 발명의 일 실시예에 따라, 플라즈마 반응기 부품들을 제조하는 방법이 제공된다. 반응기 부품들은 사용되는 동안에 플라즈마에 노출되는 하나 이상의 표면을 갖는다. 이 방법은 폴리머 증착물의 부착을 향상시키는 표면 거칠기 특성을 갖는 코팅을 형성하기 위해 플라즈마에 노출된 부품 표면상에 코팅 물질을 플라즈마 분사하는 것을 포함한다.
본 발명의 다른 실시예에 따라, 공정 동안에 플라즈마에 노출된 하나 이상의 표면을 갖는 플라즈마 반응기의 부품들이 제공된다. 이러한 부품은 플라즈마에 노출된 그 표면에 플라즈마 분사된 코팅을 포함한다. 이러한 코팅은 폴리머 증착물의 부착을 향상시키는 표면 거칠기 특성을 갖는다.
본 발명의 또 다른 실시예에 따라, 전술한 바와 같은 하나 이상의 부품들을 포함하는 플라즈마 반응기 및 그 내에서 기판을 처리하는 방법이 제공된다. 이 방법은 기판의 노출된 표면을 플라즈마와 접촉시키는 것을 포함한다.
본 발명은 유사한 요소는 유사한 참조번호로 표시된 첨부하는 이하의 도면들을 참조하여 보다 상세히 설명될 것이다.
도 1은 종래의 플라즈마 분사 공정을 나타낸다.
도 2는 본 발명에 따른 플라즈마 분사된 반응기 부품들을 결합시킨 금속 식 각 챔버를 나타낸다.
도 3은 본 발명에 따른 플라즈마 분사된 반응기 부품들을 결합시킨 고밀도 산화물 식각 챔버를 나타낸다.
도 4는 본 발명의 일 실시예에 따른 도 3의 식각 챔버를 위한 가스분산판의 상면도이다.
본 발명은 반도체(예를 들어, 실리콘, 갈륨아세나이드,등) 웨이퍼들, 평판 디스플레이 기판들 및 그와 유사한 것들과 같은 기판의 파티클 오염물을 감소시키는 데 있어서 개선을 가져온다. 특히, 본 발명은 폴리머 부착을 향상시키는 표면 거칠기 특성을 갖는, 플라즈마에 노출된 표면을 갖는 플라즈마 공정 챔버용 부품을 제공한다. 거칠어진 표면은 세라믹 또는 폴리머 물질 등과 같은 코팅 물질을 그 표면 위로 플라즈마 분사시킴으로써 형성된다. 본 발명의 플라즈마 분사된 부품들은 공정 동안에 플라즈마에 노출되는 임의의 플라즈마 반응기 부품용으로 사용될 수 있다. 그 적합한 부품들은 예를 들어, 챔버 라이너들, 배플링들, 가스분산판들, 포커스링들, 플라즈마 제한링들, 페디스탈들 및 라이너 지지대들을 포함한다.
본 발명의 반응기 부품들은 금속 물질 또는 세라믹 물질로 만들어질 수 있다. 적합한 금속 물질은 알루미늄을 포함한다. 플라즈마 분사로 코팅되어질 알루미늄 표면은 그대로 노출된 것이거나(자연산화물층은 제외) 양극산화된 것일 수 있다. 대안적으로, 반응기 부품들은 알루미나, 실리카, 쿼츠, 티타니아, 질코니아, 이트리아, 티타늄 카바이드, 질코늄 카바이드, 실리콘 카바이드, 보론 카바이드, 알루미늄 나이트라이드, 티타늄 나이트라이드, 실리콘 나이트라이드, 및/또는 보론 나이트라이드와 같은 세라믹 물질로 만들어질 수 있다. 이러한 세라믹 부품들은 세라믹 분말을 핫프레싱(hot pressing)하고 소결하여 벌크 부품으로 만드는 것과 같은 종래의 세라믹 제조 기술로 만들어질 수 있다.
본 발명에서는, 공정 동안에 플라즈마에 노출되는 반응기 부품들의 모든 또는 일부 표면은 세라믹 또는 고온 폴리머와 같은 플라즈마 분사된 물질로 코팅될 수 있다. 코팅은 알루미나, 이트리아, 질코니아, 실리콘 카바이드, 실리콘 나이트라이드, 보론 카바이드 및 보론 나이트라이드로 이루어지는 군으로부터 선택된 세라믹 물질일 수도 있다. 본 발명에 따른 플라즈마 분사된 코팅은, 반응기 내부 표면들에게 식각 동안에 플라즈마 챔버내에 형성된 폴리머 증착물들의 부착을 향상시키는 표면 거칠기 특성을 제공한다. 본 발명에서는, 이러한 창의적인 코팅들은 바람직하게는 플라즈마 반응기내에서 기판에 대한 공정 동안에 발생된 폴리머 부산물의 향상된 부착성을 얻기에 적합한 표면 거칠기값(Ra)을 갖는다. 예를 들어, 본 발명에 따른 플라즈마 분사된 표면의 산술적인 평균 표면거칠기(Ra)는 150 내지 190 마이크로-인치 범위가 될 수 있다. 이러한 범위내에서의 표면 거칠기값은 금속 식각과 같은 플라즈마 식각 공정 동안에 반응 챔버의 내부 표면상에 증착된 폴리머의 부착성을 향상시킨다.
플라즈마 분사 공정은 통상적으로 표면상으로 용융된 또는가열되어 유연하게 된 물질을 분사하는 것을 포함한다. 도 1은 통상적인 플라즈마 분사 공정을 나타낸다. 통상적으로 분말(112) 형태인 코팅 물질이 고온의 플라즈마 화염(114) 속으로 주입되고, 거기서 이것은 급격히 가열되고 고속으로 가속되어진다. 뜨거워진 물질은 기판 표면(116)과 충돌하며 급격히 냉각되어 코팅(118)을 형성한다.
플라즈마 분사총(120)은 통상적으로 애노드(122, 보통 구리로 만들어짐) 및 캐소드(124, 보통 텅스텐으로 만들어짐)를 포함하며, 그 둘은 수냉되어질 수 있다. 플라즈마 가스(126, 예를 들어 아르곤, 질소, 수소, 헬륨 등)가 일반적으로 화살표(128)로 표시된 방향으로 캐소드 주위를 따라 흐르고, 수축되는 노즐과 같은 형상의 애노드(122)를 통하여 흐른다. 플라즈마가 국부적인 이온화를 일으키는 고전압 방전에 의해 개시되고, DC 아크용 도전 경로가 캐소드(124)와 애노드(122) 사이에 형성된다. 아크로부터의 저항 가열은 가스를 극한 온도에 도달하게 하고, 플라즈마 형성을 위해 분해 및 이온화시킨다. 플라즈마는 자유 또는 중성의 플라즈마 화염(이 플라즈마는 전기적 전류를 이동시키지 않는다)으로서 애노드(122)를 빠져나온다. 플라즈마가 안정화되고 분사될 준비가 되면, 전기적인 아크가 노즐 아래로 확장된다. 분말(112)이 통상적으로 애노드 노즐 출구(134) 근처에 장착된 외부 분말 포트(132)를 통하여 플라즈마 화염속으로 공급된다. 분말(112)은 급격히 가열되고 가속되어져, 용융된 또는 가열되어 유연화된 상태의 분말 입자(112)들이 기판(116)상에 충돌되도록 한다.
여러가지 결합 메카니즘들이 코팅/기판 접촉면에서 그리고 플라즈마 분사된 코팅을 구성하는 파티클 사이에서 존재한다. 일반적으로, 기계적인 인터로킹(interlocking)과 확산 결합이 발생한다. 존재할 수 있는 결합 메카니즘들은, 기계적인 키잉(keying) 또는 인터로킹; 확산 결합; 및 다른 점착제, 화학 및 기계적 결합 메카니즘(예를 들어, 반데르바알스힘)을 포함한다. 결합과 연속되는 플라즈마 분사된 코팅의 축적에 영향을 주는 요소들은, 기판 표면의 청결성; 결합에 유용한 표면적; 표면 토포그라피 또는 표면 프로파일; 파티클 및 기판의 온도(열적 에너지); 시간(예를 들어, 반응속도 및 냉각속도 등); 분말 입자들의 속도(운동 에너지); 기판 및 분말 입자의 물리적 및 화학적 성질들; 및 공정 동안에 발생할 수 있는 어떠한 물리적 및 화학적 반응들을 포함한다.
본 발명에서는, 세정 및 그리트 또는 비드 블라스팅(grit or bead blasting)과 같은 표면 준비 기술들이 플라즈마 분사된 코팅의 결합을 위해 보다 화학적 및 물리적으로 활성적인 부품 표면을 제공하기 위해 사용될 수 있다. 그리트 또는 비드 블라스팅에 의하여, 결합에 유용한 표면적은 증가될 수 있으며, 결과적으로 코팅 결합력이 증가될 수 있다. 알루미나 챔버 부품을 위해, 코팅되어질 표면은 바람직하게는 오염물이 없는 알루미늄 산화물 매체로 그리트 블라스팅된다. 거칠어진 표면은 공기 또는 CO2로 그 표면을 블라스팅 하거나 및/또는 산용액으로 그 표면을 세척하는 등과 같은 어떠한 적절한 기술에 의해 느슨한 입자들을 제거하기 위해 세정될 수 있다. 이러한 처리한 결과 그 표면의 거칠어진 표면 프로파일은 기판과 코팅간의 기계적인 키잉 또는 인터로킹을 향상시킬 수 있다.
일단 플라즈마 분사된 코팅이 적용되면, 코팅의 노출된 표면은 어떤 적절한 기술을 사용하여 세정될 수 있다. 적절한 세정 기술은 공기 또는 CO2로 그 표면을 블라스팅 하거나 및/또는 초음파 세정을 포함한다. 이러한 세정 단계들은 기판에 대한 플라즈마 처리 동안에 부품을 사용하기에 앞서서 원하는 수준의 표면 청결성 또는 부품 표면의 컨디셔닝을 얻기 위해 반복될 수 있다.
플라즈마 분사는 내화 금속 및 세라믹과 같은 매우 높은 용융점을 갖는 물질 을 분사할 수 있다는 장점이 있다. 예를 들어, 세라믹의 플라즈마 분사 코팅은 여러가지 플라즈마 반응기 부품을 위한 보호 코팅으로서 채용되어왔다. 예를 들어, 미국 특허 제5,560,780호, 제5,879,523호, 제5,993,594호 및 제6,120,640호등을 참조하라. 게다가 플라즈마 분사 공정은 폴리이미드와 같은 고융점의 열경화성 및 열가소성 폴리머를 위해 개발되어왔다.
본 발명에서는, 플라즈마 분사된 코팅은 플라즈마에 의한 부식에 저항성이 있는 임의의 물질일 수 있다. 예를 들어, 반응기 부품으로서의 용도에 적합한 어떠한 세라믹 물질도 이러한 물질이 플라즈마 분사될 수 있는 한 코팅으로서 사용될 수도 있다. 코팅이 세라믹 물질인 경우, 그 코팅은 하부의 부품과 동일한 물질인 것이 바람직하다. 부품과 코팅을 동일 물질로 사용함으로써, 코팅과 부품과 사이의 열팽창계수(CTE) 차이는 최소화 또는 제거될 수 있다. CTE값에서의 이러한 차이는 사용중에 반응기 부품들의 열적 사이클 동안 코팅 물질의 박리를 초래할 수 있다. 코팅이 알루미나인 경우, 코팅은 2 내지 5 밀(mil) (0.002 내지 0.005 인치) 범위의 두께로 부품에 적용되는 것이 바람직하다.
코팅은 폴리머 물질일 수도 있다. 코팅이 폴리머인 경우, 폴리머는 부품에 밀착되게 부착되는 코팅을 형성하기 위하여 플라즈마 분사될 수 있어야 한다. 바람직한 고온 폴리머는 듀퐁의 등록상표인 VESPEL
Figure 112006092495076-pct00005
와 같은 폴리이미드이다. 폴리이미드 코팅은 10 내지 30 밀(0.010 내지 0.030 인치) 두께로 부품에 적용되는 것이 바람직하다.
기판에 대한 플라즈마 식각에 있어서, 피춰들은 실리콘 웨이퍼와 같은 기판상에 여러가지 물질들의 층으로 식각되어진다. 통상적으로 식각되어지는 물질은 금속과 산화물(예를 들어, SiO2)과 같은 유전물질을 포함한다. 이러한 식각 공정에서는, 가스분산판이 기판의 평면 위의 반응기의 체적내로 가스 흐름의 공간적인 분산을 제어하기 위해 사용될 수 있다. 폴리머 축적은 고주파 (RF) 소스에 결합된 안테나가 가스들을 공정 챔버 내에서 플라즈마 상태로 활성화시키는 플라즈마 반응기 내에서 특히 문제가 될 수 있다. 이러한 타입의 플라즈마 반응기는 미국 특허 제4,948,458호, 제5,198,718호, 제5,241,245호, 제5,304,279호, 제5,401,350호, 제5,531,834호, 제5,464,476호, 제5,525,159호, 제5,529,657호 및 제5,580,385호에 개시되어 있다. 이러한 시스템에서는 안테나가 유전체 윈도우, 가스분산판, 에폭시로 된 캡슐화층 등과 같은 유전체 부재에 의해 공정 챔버의 내측으로부터 분리되어 있으며, RF 에너지가 유전체 부재를 통하여 챔버내로 공급된다. 이러한 공정 시스템들은 식각, 증착, 레지스트 스트립핑 등과 같은 여러가지 반도체 공정의 응용에 사용될 수 있다.
전술한 형태의 플라즈마 반응기 내에서 반도체 웨이퍼의 산화물 또는 금속 식각 동안에, 폴리머 증착물들이 유전체 부재 또는 웨이퍼와 마주하는 가스분산판의 노출된 표면을 포함하는 반응기의 내부 표면상에 축적될 수 있다. 폴리머 축적이 깊어짐에 따라 폴리머는 벗겨지거나 유전체 부재로부터 떨어져나가려 한다. 유전체 부재가 기판과 척 바로 위에 위치하는 경우 폴리머 파티클들이 직접 기판 또는 척 위로 떨어질 수 있다. 이러한 폴리머 파티클들은 기판 속에 결함을 도입하여 수율을 저하시킬 수 있다. 또는, 파티클들은 척 표면으로 이동되어 척킹 문제를 유발할 수도 있다.
통상적으로, 식각 공정은 주기적으로 정지되며, 챔버 내부 표면은 파티클 오염물의 수준을 감소시키기 위해 세정(예를 들어, 건식 식각 처리를 사용하여)된다. 그러나 부적절한 또는 불완전한 세정은 챔버내에서 파티클 오염물 수준을 실질적으로 증가시킬 수도 있다. 나아가, 세정을 위해 요구되는 정지시간에 기인한 지체는 생산 효율에 상당한 손실을 나타낸다. 따라서, 식각 챔버 내부 표면상의 폴리머 증착의 제어는 높은 수율을 달성하고, 플라즈마 반응기내에서 기판에 대한 높은 처리량을 유지하는 데 요망되어지는 것이다.
전술한 형태의 예시적인 금속 식각 반응기는 TCPTM 9600 플라즈마 반응기로서 알려진 트랜스포머 결합 플라즈마 반응기이며, 캘리포니아, 프레몬트의 램 리서치사로부터 입수할 수 있다. 도 2는 TCPTM 9600 플라즈마 반응기의 단순화된 개략도를 나타낸다. 도 2에서 플라즈마 공정 챔버(152)를 포함하는 반응기(150)를 보여준다. 챔버(152) 위로 플라즈마를 발생시키기 위한 안테나(156)가 배치되며, 도 2의 실시예에서는 평탄 코일로 구성되어 있다. RF 코일(156)은 통상적으로 매칭 네트워크(미도시)를 통하여 RF 발생기(158)에 의해 동력화된다. 챔버(152) 내에는 샤워헤드(154)가 제공되며, 이것은 샤워헤드와 웨이퍼(170) 사이의 RF 인가 플라즈마 영역내로 가스 소오스 물질(예를 들어, 에천트 소오스 가스)을 넣어주기 위한 복수개의 홀들을 포함한다.
가스 소오스 물질들은 챔버(152)의 벽체내로 설치된 포트로부터 공급될 수도 있다. 에천트 소오스 케미컬은 알루미늄 또는 그 합금 중의 하나를 식각할 때 예를 들어, Cl2 및 BCl3와 같은 할로겐을 포함한다. 측벽 보호를 위해 하이드로카본, 플루오로카본, 및 하이드로-플루오로카본과 같은 폴리머 형성 물질 뿐만 아니라 다른 에천트 케미컬(예를 들어, CH4, HBr, HCl, CHCl3)이 사용될 수도 있다. 이러한 가스들은 불활성 및/또는 비반응성 가스를 선택적으로 함께 사용할 수도 있다.
사용시에는 웨이퍼(170)가 챔버벽(172)에 의해 한정되는 챔버(152)내로 도입되고, 하부 또는 제2 전극으로서 역할하는 기판 지지대(162)상에 위치된다. 웨이퍼는 바람직하게는 고주파 발생기(164, 통상적으로 매칭 네트워크를 통하여)에 의해 바이어스된다. 웨이퍼는 그 위에 제조된 복수개의 집적회로(IC)들을 포함한다. IC는 예를 들어, PLA, FPGA 및 ASIC과 같은 로직 소자 또는 RAM(Random Access Memory), DRAM(Dynamic RAM), SDRAM(Synchronous DRAM), 또는 ROM(Read Only Memory)등과 같은 메모리 소자를 포함할 수 있다. RF 파워가 인가되면 반응성 물질(에천트 소오스 가스로부터 형성된)은 웨이퍼(170)의 노출된 표면을 식각한다. 그리고 휘발성일 수도 있는 부산물들은 배출 포트(166)를 통하여 배출된다. 공정이 완료된 후에 웨이퍼는 다이싱되며, IC는 개별적인 칩들로 분리된다.
본 발명에서는, 플라즈마 제한링(미도시), 챔버 벽(172), 챔버 라이너(미도시) 및/또는 샤워헤드(154)의 플라즈마 노출 표면들에는 폴리머 부착을 향상시키는 표면 거칠기 특성을 갖는 플라즈마 분사된 코팅(160)이 제공될 수 있다. 게다가, 기판 지지대(168)의 플라즈마에 노출되는 표면들에도 본 발명에 따라 플라즈마 분사 코팅(미도시)이 제공될 수 있다. 이러한 방식에 있어서, 고밀도 플라즈마를 제한하는 거의 모든 표면들은 폴리머 부착을 향상시키는 표면 거칠기 특성을 가질 것이다. 이러한 방식에 있어서, 반응기 내의 파티클 오염물은 실질적으로 감소될 수 있다.
본 발명의 반응기 부품들은 고밀도 산화물 식각 공정에서도 사용될 수 있다. 예시적인 산화물 식각 반응기는 캘리포니아, 프레몬트의 램 리서치사로부터 입수할 수 있는 TCP 9100TM 플라즈마 식각 반응기이다. TCP 9100TM 반응기에 있어서, 가스분산판은, 반도체 웨이퍼와 평행한, 반도체 웨이퍼상의 평면에서의 반응기의 상부에서 진공 시일링 표면이기도 한 TCPTM 윈도우 바로 아래 위치하는 원형판이다. 가스분산링은 가스를 그 소오스로부터 가스분산판에 의해 한정되는 체적내로 투입시킨다. 가스분산판은 그 판을 통과하여 연장되는 특정 직경을 갖는 홀들의 어레이를 포함한다. 가스분산판을 통과하는 홀들의 공간적인 분포는 식각되어질 층, 예를 들어 웨이퍼상의 포토레지스트층, 이산화실리콘층 및 하부물질층의 식각 균일도를 최적화하기 위해 다양하게 될 수 있다. 가스분산판의 횡단면 형상은 반응기내에서 플라즈마 내로 RF 파워의 분포를 조종하기 위해 다양하게 될 수 있다. 가스분산판 물질은 반응기내에서 가스분산판을 통하여 이러한 RF 파워의 결합을 가능하게 할 수 있도록 유전 물질로 이루어진다. 나아가, 가스분산판의 물질은 브레이크다운 및 그와 관련된 파티클 발생의 방지를 위해 산소 또는 하이드로-플루오로카본 가스 플라즈마 등의 분위기에서 화학적 스퍼터 식각에 매우 저항성이 있을 것이 요구된다.
본 발명의 일 실시예에 따라 산화물 식각을 위한 진공 공정 챔버가 도 3에 도시되었다. 진공 공정챔버(10)는 기판(13)에 정전기적 클램핑력을 제공할 뿐만 아니라 그 위에 지지된 기판에 RF 바이어스를 제공하는 바닥 전극 형태의 기판 홀더(12) 및 기판 위의 영역에 플라즈마를 제한하기 위한 포커스 링(14)을 포함한다. 기판은 헬륨과 같은 열전달 가스로 후면 냉각될 수도 있다. 적절한 RF 소오스 및 적절한 RF 임피던스 매칭회로에 의해 동력화되는 평면 나선형 코일 형태의 안테나(18)와 같은, 챔버내에서 고밀도(예를 들어, 1011 내지 1012 이온/cm3) 플라즈마를 유지하기 위한 에너지 소오스가 고밀도 플라즈마를 제공하기 위해 챔버(10)내로 RF 에너지를 유도적으로 결합시킨다. 챔버는 챔버의 내부를 원하는 압력(예를 들어, 50 mTorr 이하, 통상적으로 1-20 mTorr)으로 유지하기 위한 적절한 진공 펌핑장치를 포함한다. 균일한 두께를 갖는 근본적으로 평탄한 유전체 윈도우(20)가 안테나(18)와 공정챔버(10)의 내부 사이에 제공되며, 공정챔버(10)의 상부에서 진공 벽을 형성한다. 보통 샤워헤드(22)라고 불리는 가스분산판이 유전체 윈도우(20) 아래에 제공되며, 가스 공급부(23)로부터 공급되는 공정 가스를 공정챔버(10)로 전달하기 위한 원형 홀(미도시)과 같은 복수개의 개구부를 포함한다. 원뿔 라이너(30)가 가스분산판으로부터 연장되며, 기판 홀더(12)를 둘러싼다. 온도 제어 유체가 입구관(25) 및 출구관(26)을 경유하여 통과하는 채널(24)이 안테나(18)에 제공될 수 있다. 그러나 안테나(18) 및/또는 유전체 윈도우(20)는, 안테나 및 유전체 윈도우 위로 공기를 불어주거나, 냉각 매체를 유전체 윈도우 및/또는 가스분산판 등을 통과시키거나 이들과 열 전달 접촉을 시키는 등과 같은 다른 기술들에 의해 냉각되어질 수 있다.
동작에 있어서, 웨이퍼가 기판 홀더(12)상에 위치하며, 통상적으로 정전기적 클램프, 기계적 클램프 또는 다른 클램핑 메카니즘에 의해 제자리에 고정된다. 이어서 유전체 윈도우(20)와 가스분산판(22) 사이의 갭을 통하여 공정가스를 통과시킴으로써 진공 공정챔버(10)에 공정가스가 공급된다. 적절한 가스분산판 배열(즉, 샤워헤드)들이 공동 소유가 된 미국 특허 제5,824,605호, 제5,863,376호 및 제6,048,798호에 개시되어 있다.
가스분산판은 여러가지 디자인으로 할 수 있으며, 그 한 예가 도 4에 도시되어 있다. 도 4에서 보여지는 가스분산판(40)은 가스분산판과 유전체 윈도우 사이에 공정 가스를 공급하기 위한 통로를 제공하기 위해 그 중앙 근처에 4개의 엠보스먼트(42, embossment)와 89개의 홀(41)을 포함한다.
도 3에서 보여지듯이, 가스분산판(22), 챔버 라이너(30) 및/또는 포커스링(14)의 플라즈마 노출된 표면들에는 폴리머 부착을 향상시키는 표면 거칠기 특성을 갖는 세라믹 또는 폴리머 물질로 된 플라즈마 분사된 코팅(32)이 제공된다. 이러한 방식에서는 반응기 내에서 파티클 오염물이 근본적으로 감소될 수 있다.
일정 시간 사용 후에, 본 발명에 따라 반응기 부품들의 내부 표면에는 폴리머 증착물들이 더 코팅될 수 있다. 본 발명의 다른 실시예에 따라, 부품을 반응기로부터 제거하여, 존재하는 플라즈마 분사 코팅 및 어떤 축적된 증착물들을 부품으로부터 물리적으로 제거할 수 있으며, 새로운 플라즈마 분사 코팅을 적용할 수 있다. 오래된 코팅은 그라인딩 또는 그리트 블라스팅과 같은 기계적 수단을 사용하여 제거될 수 있다. 이러한 방식으로 반응기 부품들은 재사용될 수 있다.
본 발명의 플라즈마 분사 코팅의 부착성을 판정하기 위해 테이프 테스트를 수행하였다. 본 발명에 따라 알루미나로 된 플라즈마 분사 코팅을 갖는 알루미나 가스분산판이 TCP 9600TM 금속 식각 반응기내에 설치되어 사용된다. 표준 핫-프레스되고 소결된 알루미나 가스분산판(플라즈마 분사 코팅이 없는)이 비슷한 조건하에서 동일한 형태의 반응기내에서 사용되었다. 상당한 폴리머 증착물들이 양 가스분산판의 플라즈마 노출된 표면상에 형성된다. 테이프 테스트에서, 테이프 조각을 각 가스분산판의 표면에 부착한 후 벗겨낸다. 플라즈마 분사된 가스분산판으로부터 제거된 테이프 조각에는 폴리머가 보이지 않았다. 그러나, 표준(플라즈마 분사되지 않은) 가스분산판으로부터 제거된 테이프에 대한 육안 검사는 그 위에 상당한 폴리머 증착물이 있는 것을 보여준다.
이상에서 본 발명의 원리, 바람직한 실시예들 및 동작 모드를 설명하였다. 그러나, 본 발명은 설명된 특정 실시예에 한정되는 것으로 해석되어서는 안된다. 따라서, 전술한 실시예들은 제한적인 것보다 예시적인 것으로 간주되어야 하며, 이하의 클레임에 의해 정의되는 본 발명의 범위로부터 벗어남이 없이 당업자에 의한 실시예들에서 변형들이 이루어질 수도 있다는 것이 인식되어야 한다.

Claims (35)

  1. 사용중에 플라즈마에 노출되는 하나 이상의 표면을 갖는 플라즈마 반응기 부품을 제조하는 방법으로서,
    상기 부품의 플라즈마 노출 표면상으로 코팅 물질을 플라즈마 분사시켜 폴리머 증착물의 부착을 향상시키는 표면 거칠기 특성을 갖는 코팅을 형성하는 단계를 포함하고,
    상기 코팅 물질은 이트리아인, 플라즈마 반응기 부품의 제조방법.
  2. 제 1 항에 있어서,
    상기 부품의 플라즈마 노출 표면을 거칠게 하는 단계; 및
    상기 코팅 물질을 플라즈마 분사하기 전에 상기 거칠어진 표면을 세정하는 단계를 더 포함하는, 플라즈마 반응기 부품의 제조방법.
  3. 제 1 항에 있어서,
    상기 플라즈마 분사 코팅의 노출 표면을 세정하는 단계를 더 포함하는, 플라즈마 반응기 부품의 제조방법.
  4. 삭제
  5. 삭제
  6. 제 1 항에 있어서,
    플라즈마 반응 챔버로부터 상기 부품을 제거하는 단계; 및
    세정된 표면상에 상기 코팅을 플라즈마 분사하기 이전에 존재하는 코팅 및/또는 부착된 폴리머 증착물을 그곳으로부터 제거함으로써 상기 플라즈마 노출 표면을 세정하는 단계를 더 포함하는, 플라즈마 반응기 부품의 제조방법.
  7. 제 1 항에 있어서,
    상기 플라즈마 분사 코팅은 2 내지 5 밀(mil) 두께를 갖는, 플라즈마 반응기 부품의 제조방법.
  8. 제 1 항에 있어서,
    상기 부품 및 상기 코팅 물질은 동일한 물질을 포함하는, 플라즈마 반응기 부품의 제조방법.
  9. 삭제
  10. 삭제
  11. 제 1 항에 있어서,
    상기 부품은 플라즈마 제한링, 포커스링, 페디스탈, 챔버 벽, 챔버 라이너 및 가스분산판으로 이루어지는 군으로부터 선택되는, 플라즈마 반응기 부품의 제조방법.
  12. 제 2 항에 있어서,
    상기 플라즈마 노출 표면을 거칠게 하는 단계는 상기 부품의 표면을 비드 블라스팅하는 것을 포함하는, 플라즈마 반응기 부품의 제조방법.
  13. 제 1 항에 있어서,
    상기 코팅은 150 내지 190 마이크로-인치 사이의 산술적인 평균 표면거칠기값(Ra)을 갖는, 플라즈마 반응기 부품의 제조방법.
  14. 플라즈마 반응기의 부품으로서,
    공정 동안에 플라즈마에 노출되는 하나 이상의 표면을 가지고, 상기 부품의 플라즈마 노출 표면상에 플라즈마 분사 코팅을 포함하며,
    상기 코팅은 폴리머 증착물의 부착을 향상시키는 표면 거칠기 특성을 갖고,
    상기 코팅은 이트리아인, 플라즈마 반응기 부품.
  15. 제 14 항에 있어서,
    상기 부품은 금속 물질 또는 세라믹 물질로 만들어지는, 플라즈마 반응기 부품.
  16. 제 15 항에 있어서,
    상기 부품은 양극화된 또는 양극화되지 않은 플라즈마 노출 표면을 갖는 알루미늄을 포함하는, 플라즈마 반응기 부품.
  17. 제 14 항에 있어서,
    상기 부품은 알루미나, 이트리아, 질코니아, 실리콘 카바이드, 실리콘 나이트라이드, 보론 카바이드 및 보론 나이트라이드로 이루어지는 군으로부터 선택된 세라믹 물질로 만들어지는, 플라즈마 반응기 부품.
  18. 제 14 항에 있어서,
    상기 부품은 플라즈마 제한링, 포커스링, 페디스탈, 챔버 벽, 챔버 라이너 및 가스분산판으로 이루어지는 군으로부터 선택되는, 플라즈마 반응기 부품.
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 제 14 항에 있어서,
    상기 코팅은 150 내지 190 마이크로-인치의 산술적 평균 표면 거칠기값(Ra)을 갖는, 플라즈마 반응기 부품.
  26. 제 14 항에 따른 하나 이상의 부품을 포함하는, 플라즈마 반응기.
  27. 제 26 항의 플라즈마 반응기 내에서 기판을 처리하는 방법으로서,
    플라즈마로 상기 기판의 노출된 표면에 접촉시키는 단계를 포함하는, 기판 처리방법.
  28. 제 27 항에 있어서,
    상기 반응기 내에서 기판 지지 대상에 상기 기판을 위치시키는 단계;
    상기 반응기 속으로 공정 가스를 도입하는 단계;
    상기 기판의 노출된 표면 근처에 플라즈마를 발생시키기 위하여 상기 공정 가스에 RF 에너지를 인가하는 단계; 및
    플라즈마로 상기 노출된 기판 표면을 식각하는 단계를 더 포함하는, 기판 처리방법.
  29. 제 28 항에 있어서,
    상기 공정 가스는 하나 이상의 폴리머 형성 종을 포함하는, 기판 처리방법.
  30. 제 27 항에 있어서,
    상기 기판의 노출된 표면은 금속 물질 또는 산화물을 포함하는, 기판 처리방법.
  31. 제 28 항에 있어서,
    상기 부품은 가스분산판이며,
    상기 가스분산판 내에 있는 개구부들을 통하여 상기 반응기 내로 공정 가스를 도입하는 단계를 더 포함하는, 기판 처리방법.
  32. 삭제
  33. 삭제
  34. 플라즈마 식각 반응기의 부품으로서,
    공정 동안에 플라즈마에 노출되는 하나 이상의 표면을 가지고,
    상기 부품의 플라즈마 노출 표면 상에 코팅 물질을 플라즈마 분사하는 단계를 본질적으로 포함하는 공정에 의해 형성된 코팅을 포함하고,
    상기 코팅은 이트리아이고,
    상기 코팅은 플라즈마 식각 반응기 내에서 반도체 기판의 식각 동안에 형성되는 폴리머 증착물의 부착을 향상시키는 표면 거칠기를 갖는, 플라즈마 식각 반응기 부품.
  35. 제 34 항에 있어서,
    상기 코팅은 150 내지 190 마이크로-인치 사이의 표면 거칠기값 (Ra) 을 갖는, 플라즈마 식각 반응기 부품.
KR1020037008659A 2000-12-29 2001-12-13 저오염의 플라즈마 챔버 부품 및 그 제조방법 KR100899965B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/749,917 US6805952B2 (en) 2000-12-29 2000-12-29 Low contamination plasma chamber components and methods for making the same
US09/749,917 2000-12-29
PCT/US2001/047571 WO2002057506A2 (en) 2000-12-29 2001-12-13 Low contamination plasma chamber components and methods for making the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020097003343A Division KR100939464B1 (ko) 2000-12-29 2001-12-13 저오염의 플라즈마 챔버 부품 및 그 제조방법

Publications (2)

Publication Number Publication Date
KR20030066769A KR20030066769A (ko) 2003-08-09
KR100899965B1 true KR100899965B1 (ko) 2009-05-28

Family

ID=25015751

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020037008659A KR100899965B1 (ko) 2000-12-29 2001-12-13 저오염의 플라즈마 챔버 부품 및 그 제조방법
KR1020097003343A KR100939464B1 (ko) 2000-12-29 2001-12-13 저오염의 플라즈마 챔버 부품 및 그 제조방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020097003343A KR100939464B1 (ko) 2000-12-29 2001-12-13 저오염의 플라즈마 챔버 부품 및 그 제조방법

Country Status (9)

Country Link
US (2) US6805952B2 (ko)
EP (1) EP1346076B1 (ko)
JP (4) JP4890734B2 (ko)
KR (2) KR100899965B1 (ko)
CN (1) CN1285758C (ko)
AU (1) AU2002245088A1 (ko)
IL (1) IL156644A0 (ko)
TW (1) TW563200B (ko)
WO (1) WO2002057506A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101419515B1 (ko) * 2012-09-24 2014-07-15 피에스케이 주식회사 배플 및 배플의 표면처리장치, 그리고 기판 처리 장치 및 표면 처리 방법

Families Citing this family (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20040081746A1 (en) * 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US6777045B2 (en) 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
JP2003264169A (ja) * 2002-03-11 2003-09-19 Tokyo Electron Ltd プラズマ処理装置
JP3958080B2 (ja) * 2002-03-18 2007-08-15 東京エレクトロン株式会社 プラズマ処理装置内の被洗浄部材の洗浄方法
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US20050269732A1 (en) * 2002-09-12 2005-12-08 Koninklijke Philips Electronics N.V. Support plate for semiconductor components
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7122125B2 (en) * 2002-11-04 2006-10-17 Applied Materials, Inc. Controlled polymerization on plasma reactor wall
JP3946130B2 (ja) * 2002-11-20 2007-07-18 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US7964085B1 (en) 2002-11-25 2011-06-21 Applied Materials, Inc. Electrochemical removal of tantalum-containing materials
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
KR100459872B1 (ko) 2003-05-07 2004-12-03 삼성전자주식회사 트렌치 게이트를 갖는 매몰 채널형 트랜지스터 및 그제조방법
US20050048876A1 (en) * 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US20050161061A1 (en) * 2003-09-17 2005-07-28 Hong Shih Methods for cleaning a set of structures comprising yttrium oxide in a plasma processing system
US7910218B2 (en) * 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US7022620B2 (en) * 2003-11-18 2006-04-04 Micron Technology, Inc. Conditioning of a reaction chamber
KR100519798B1 (ko) * 2003-12-11 2005-10-10 삼성전자주식회사 향상된 생산성을 갖는 박막 형성 방법
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US7264679B2 (en) * 2004-02-11 2007-09-04 Applied Materials, Inc. Cleaning of chamber components
US20050215059A1 (en) * 2004-03-24 2005-09-29 Davis Ian M Process for producing semi-conductor coated substrate
US7604841B2 (en) 2004-03-31 2009-10-20 Tokyo Electron Limited Method for extending time between chamber cleaning processes
US7618769B2 (en) * 2004-06-07 2009-11-17 Applied Materials, Inc. Textured chamber surface
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US20060086458A1 (en) * 2004-10-25 2006-04-27 Kim Hong J Ceramic materials in plasma tool environments
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
CN100510167C (zh) * 2004-12-30 2009-07-08 中国科学院半导体研究所 金属有机物化学气相沉积设备反应室中的反烘烤沉积结构
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7313310B2 (en) * 2005-05-25 2007-12-25 Honeywell International Inc. Plasma directing baffle and method of use
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US20070032072A1 (en) * 2005-08-02 2007-02-08 Stmicroelectronics Inc. Nucleation layer deposition on semiconductor process equipment parts
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US7976641B1 (en) 2005-09-30 2011-07-12 Lam Research Corporation Extending storage time of removed plasma chamber components prior to cleaning thereof
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US20070113783A1 (en) * 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US8790499B2 (en) * 2005-11-25 2014-07-29 Applied Materials, Inc. Process kit components for titanium sputtering chamber
US20070207267A1 (en) * 2006-02-08 2007-09-06 Laube David P Disposable liners for etch chambers and etch chamber components
US7541094B1 (en) * 2006-03-03 2009-06-02 Quantum Global Technologies, Llc Firepolished quartz parts for use in semiconductor processing
US20070283884A1 (en) * 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US7541289B2 (en) * 2006-07-13 2009-06-02 Applied Materials, Inc. Process for removing high stressed film using LF or HF bias power and capacitively coupled VHF source power with enhanced residue capture
CN100459032C (zh) * 2006-09-30 2009-02-04 中芯国际集成电路制造(上海)有限公司 减少反应室颗粒的工艺方法
US20100139557A1 (en) * 2006-10-13 2010-06-10 Solopower, Inc. Reactor to form solar cell absorbers in roll-to-roll fashion
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US20080233403A1 (en) * 2007-02-07 2008-09-25 Timothy Dyer Method of Making Ceramic Reactor Components and Ceramic Reactor Component Made Therefrom
US20080196661A1 (en) * 2007-02-20 2008-08-21 Brian West Plasma sprayed deposition ring isolator
US20080268281A1 (en) * 2007-04-27 2008-10-30 Quan Bai Shield Components With Enhanced Thermal and Mechanical Stability
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP5567486B2 (ja) * 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
JP4591722B2 (ja) * 2008-01-24 2010-12-01 信越化学工業株式会社 セラミックス溶射部材の製造方法
US20090261065A1 (en) * 2008-04-18 2009-10-22 Lam Research Corporation Components for use in a plasma chamber having reduced particle generation and method of making
CN101620981B (zh) * 2008-06-30 2011-07-06 中芯国际集成电路制造(北京)有限公司 半导体制程中无机膜层刻蚀方法及浅沟槽隔离区形成方法
CN101643895B (zh) * 2008-08-04 2011-03-23 中芯国际集成电路制造(北京)有限公司 等离子体反应腔室预处理方法
CN101740336B (zh) * 2008-11-12 2013-03-27 北京北方微电子基地设备工艺研究中心有限责任公司 腔室窗及等离子体工艺腔室
SG162642A1 (en) * 2009-01-06 2010-07-29 Frontken Singapore Pte Ltd Techniques for maintaining a substrate processing system
US20100213191A1 (en) * 2009-02-23 2010-08-26 Middleton Scott W Low Crystallinity Susceptor Films
US9284108B2 (en) 2009-02-23 2016-03-15 Graphic Packaging International, Inc. Plasma treated susceptor films
WO2010096736A2 (en) * 2009-02-23 2010-08-26 Graphic Packaging International, Inc. Plasma treated susceptor films
TWI456679B (zh) * 2009-03-27 2014-10-11 Advanced Micro Fab Equip Inc 抗電漿腐蝕之反應室部件、其製造方法以及包含該部件之電漿反應室
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
US20110220285A1 (en) * 2010-02-12 2011-09-15 Morgan Advanced Ceramics, Inc. Methods and systems for texturing ceramic components
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
CN102154630A (zh) * 2010-09-30 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体反应腔室及其设备、部件的制造方法和处理基片的方法
DE102011005639B4 (de) * 2011-03-16 2016-05-25 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Reduzieren der Defektrate während des Abscheidens einer Kanalhalbleiterlegierung in ein in-situ-abgesenktes aktives Gebiet
US20130068320A1 (en) * 2011-06-17 2013-03-21 Son Nguyen Protective material for gas delivery in a processing system
US9396912B2 (en) 2011-10-31 2016-07-19 Lam Research Corporation Methods for mixed acid cleaning of showerhead electrodes
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
CN103484809B (zh) * 2012-06-14 2015-09-02 北京北方微电子基地设备工艺研究中心有限责任公司 抗腐蚀涂层的制作方法、抗腐蚀涂层、等离子体加工设备
US9604249B2 (en) * 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
KR102101192B1 (ko) 2012-07-27 2020-04-21 어플라이드 머티어리얼스, 인코포레이티드 조면화된 기판 지지부
US20140097752A1 (en) * 2012-10-09 2014-04-10 Varian Semiconductor Equipment Associates, Inc. Inductively Coupled Plasma ION Source Chamber with Dopant Material Shield
CN103794458B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP5578383B2 (ja) 2012-12-28 2014-08-27 Toto株式会社 耐プラズマ性部材
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US8893702B2 (en) 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
CN103426802B (zh) * 2013-08-22 2016-03-30 上海科秉电子科技有限公司 一种蚀刻机的聚焦环和屏蔽环的用后处理方法
US9101954B2 (en) 2013-09-17 2015-08-11 Applied Materials, Inc. Geometries and patterns for surface texturing to increase deposition retention
US9384937B2 (en) * 2013-09-27 2016-07-05 Varian Semiconductor Equipment Associates, Inc. SiC coating in an ion implanter
WO2015134135A1 (en) 2014-03-05 2015-09-11 Applied Materials, Inc. Critical chamber component surface improvement to reduce chamber particles
KR101559874B1 (ko) * 2014-03-21 2015-10-15 피에스케이 주식회사 기판 처리 장치 및 챔버 제조 방법
US9355823B2 (en) * 2014-05-09 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for removing particles from etching chamber
JP2016009711A (ja) * 2014-06-23 2016-01-18 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
JP6156850B2 (ja) * 2014-12-25 2017-07-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の部材の交換判断方法
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
CN104630746A (zh) * 2015-01-27 2015-05-20 中国科学院微电子研究所 一种内衬、内衬构成的腔室及内衬表面的处理方法
KR102376982B1 (ko) * 2015-04-14 2022-03-21 삼성전자주식회사 세라믹을 이용하여 파티클 저감 효과를 가지는 원격 플라즈마 발생장치
US10049862B2 (en) 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
KR102447682B1 (ko) * 2015-05-29 2022-09-27 삼성전자주식회사 코팅층 형성 방법, 플라즈마 처리 장치 및 패턴 형성 방법
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
CN109690730B (zh) * 2016-06-20 2023-03-31 应用材料公司 在高温下去除处理腔室中的硼-碳残留物的清洁工艺
CN106847678B (zh) * 2017-02-14 2020-01-24 上海华虹宏力半导体制造有限公司 一种解决ono结构刻蚀缺陷的方法
CN110692130B (zh) * 2017-05-31 2024-02-13 朗姆研究公司 用于可调/可更换边缘耦合环的检测系统
CN107287592B (zh) * 2017-08-14 2019-03-19 燕山大学 一种纯铜表面激光熔覆制备二氧化锆-碳化硼增强熔覆层的方法
CN109423606A (zh) * 2017-08-24 2019-03-05 中微半导体设备(上海)有限公司 聚焦环及其耐腐蚀防护方法
CN109671607B (zh) * 2017-10-17 2021-12-17 北京北方华创微电子装备有限公司 工件的加工方法和工艺腔室
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11139151B1 (en) * 2018-03-15 2021-10-05 Intel Corporation Micro-surface morphological matching for reactor components
CN110359026A (zh) * 2018-03-26 2019-10-22 东莞新科技术研究开发有限公司 一种隔板的处理方法
CN111954801B (zh) * 2018-05-04 2023-12-01 应用材料公司 用于处理腔室的纳米颗粒测量
CN112384641A (zh) * 2018-07-03 2021-02-19 朗姆研究公司 用于调节陶瓷涂层的方法
JP2021531410A (ja) * 2018-07-26 2021-11-18 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ構成要素のための表面コーティング
JP2022502845A (ja) * 2018-09-26 2022-01-11 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated ガス分配アセンブリおよびその動作
JP7224621B2 (ja) * 2018-10-19 2023-02-20 サムコ株式会社 誘導結合型プラズマ処理装置の防着板
CN112071733B (zh) * 2019-06-10 2024-03-12 中微半导体设备(上海)股份有限公司 用于真空处理设备的内衬装置和真空处理设备
JP2022536677A (ja) * 2019-06-12 2022-08-18 ラム リサーチ コーポレーション プラズマ処理チャンバ構成部品のためのシーラント被膜
JP6744957B1 (ja) * 2019-06-25 2020-08-19 株式会社アルバック 表面処理方法
US11701802B2 (en) * 2019-11-05 2023-07-18 GM Global Technology Operations LLC Enthalpy-driven self-hardening process at the polymeric/metal layer interface with an interdiffusion process
US11618949B2 (en) * 2019-11-08 2023-04-04 Applied Materials, Inc. Methods to reduce material surface roughness
CN113035679B (zh) * 2019-12-24 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
JP7454983B2 (ja) 2020-03-30 2024-03-25 東京エレクトロン株式会社 エッジリング及びプラズマ処理装置
CN113594014B (zh) * 2020-04-30 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、等离子体反应装置及零部件加工方法
US11430893B2 (en) * 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
CN111681957B (zh) * 2020-07-24 2022-03-11 上海华虹宏力半导体制造有限公司 刻蚀方法及半导体器件的制造方法
CN114308900A (zh) * 2021-12-22 2022-04-12 深圳泰德半导体装备有限公司 等离子清洗机

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6148569A (ja) 1984-08-10 1986-03-10 Mitsubishi Chem Ind Ltd プラズマcvd装置
EP0845545A1 (en) 1996-11-26 1998-06-03 Applied Materials, Inc. Coated deposition chamber equipment
KR20000035440A (ko) * 1998-11-12 2000-06-26 조셉 제이. 스위니 기판 지지 표면을 보호하기 위한 장치 및 제조 방법

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4419201A (en) * 1981-08-24 1983-12-06 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
US4743462A (en) 1986-07-14 1988-05-10 United Technologies Corporation Method for preventing closure of cooling holes in hollow, air cooled turbine engine components during application of a plasma spray coating
JPH0677715B2 (ja) * 1987-02-04 1994-10-05 三菱重工業株式会社 樹脂のコーテイング方法
GB8905073D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Ion gun
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH0379749A (ja) * 1989-08-21 1991-04-04 Toshiba Corp 耐食性コーティング層の形成方法
US5391275A (en) 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5244730A (en) * 1991-04-30 1993-09-14 International Business Machines Corporation Plasma deposition of fluorocarbon
EP0546802A3 (en) 1991-12-09 1993-07-28 Smith & Nephew Richards Inc. Crystalline prosthesis coating
JP3159517B2 (ja) * 1992-04-24 2001-04-23 ディップソール株式会社 防汚シート
US5241245A (en) 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5401319A (en) 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JP3069462B2 (ja) * 1993-03-26 2000-07-24 日本碍子株式会社 セラミックコーティング部材とその製造方法
JPH06326175A (ja) 1993-04-22 1994-11-25 Applied Materials Inc 集積回路処理装置において使用されるウエハサポートの誘電材への保護被覆とその形成方法
US5531834A (en) 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
US5486235A (en) 1993-08-09 1996-01-23 Applied Materials, Inc. Plasma dry cleaning of semiconductor processing chambers
JPH0762296A (ja) * 1993-08-31 1995-03-07 Mitsubishi Heavy Ind Ltd ポリイミド樹脂塗料及びそれを用いた塗膜の形成方法
KR100264445B1 (ko) 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
GB9321489D0 (en) 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing
TW296534B (ko) 1993-12-17 1997-01-21 Tokyo Electron Co Ltd
US5474649A (en) 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5716534A (en) * 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US5660934A (en) * 1994-12-29 1997-08-26 Spray-Tech, Inc. Clad plastic particles suitable for thermal spraying
JPH08279490A (ja) * 1995-04-06 1996-10-22 Toshiba Corp プラズマ装置
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
JPH09272965A (ja) * 1996-04-09 1997-10-21 Toshiba Corp 真空成膜装置用部品とそれを用いた真空成膜装置、およびターゲット、バッキングプレート
JP3155199B2 (ja) * 1996-04-12 2001-04-09 東京エレクトロン株式会社 プラズマ処理装置
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JPH1032186A (ja) * 1996-07-15 1998-02-03 Matsushita Electron Corp プラズマ処理装置
US5916454A (en) 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
JP3019002B2 (ja) * 1996-09-20 2000-03-13 日本電気株式会社 ドライエッチング装置及びドライエッチング方法
US5993594A (en) 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
JP3251215B2 (ja) * 1996-10-02 2002-01-28 松下電器産業株式会社 電子デバイスの製造装置及び電子デバイスの製造方法
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
JP3527839B2 (ja) * 1998-01-28 2004-05-17 京セラ株式会社 半導体素子製造装置用部材
JP3483494B2 (ja) * 1998-03-31 2004-01-06 キヤノン株式会社 真空処理装置および真空処理方法、並びに該方法によって作成される電子写真感光体
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JP4037956B2 (ja) * 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP2000109989A (ja) * 1998-10-05 2000-04-18 Tokai Carbon Co Ltd プラズマ処理装置の内壁保護部材
JP2000124137A (ja) * 1998-10-13 2000-04-28 Hitachi Ltd プラズマ処理装置
JP3784180B2 (ja) * 1998-10-29 2006-06-07 京セラ株式会社 耐食性部材
JP3456915B2 (ja) * 1999-02-23 2003-10-14 太平洋セメント株式会社 半導体製造装置用部材
JP2000243742A (ja) * 1999-02-24 2000-09-08 Hitachi Chem Co Ltd プラズマ発生装置、そのチャンバー内壁保護部材及びその製造法、チャンバー内壁の保護方法並びにプラズマ処理方法
JP2000246742A (ja) * 1999-03-01 2000-09-12 Toyo Tire & Rubber Co Ltd 発泡体付き樹脂成形品及びその製造方法
JP2000277486A (ja) * 1999-03-25 2000-10-06 Ngk Insulators Ltd セラミックス製チャンバー構造体
US6273958B2 (en) * 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6444083B1 (en) * 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
JP2001308011A (ja) * 2000-04-18 2001-11-02 Ngk Insulators Ltd 半導体製造装置用チャンバー部材
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP3990299B2 (ja) * 2003-02-20 2007-10-10 住友ゴム工業株式会社 農用車輪のホイール
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6148569A (ja) 1984-08-10 1986-03-10 Mitsubishi Chem Ind Ltd プラズマcvd装置
EP0845545A1 (en) 1996-11-26 1998-06-03 Applied Materials, Inc. Coated deposition chamber equipment
KR20000035440A (ko) * 1998-11-12 2000-06-26 조셉 제이. 스위니 기판 지지 표면을 보호하기 위한 장치 및 제조 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101419515B1 (ko) * 2012-09-24 2014-07-15 피에스케이 주식회사 배플 및 배플의 표면처리장치, 그리고 기판 처리 장치 및 표면 처리 방법

Also Published As

Publication number Publication date
KR100939464B1 (ko) 2010-01-29
TW563200B (en) 2003-11-21
JP5593490B2 (ja) 2014-09-24
US20040224128A1 (en) 2004-11-11
EP1346076A2 (en) 2003-09-24
JP4890734B2 (ja) 2012-03-07
JP2010199596A (ja) 2010-09-09
WO2002057506A2 (en) 2002-07-25
KR20030066769A (ko) 2003-08-09
JP5371871B2 (ja) 2013-12-18
CN1285758C (zh) 2006-11-22
US6805952B2 (en) 2004-10-19
KR20090037472A (ko) 2009-04-15
AU2002245088A1 (en) 2002-07-30
JP2012054590A (ja) 2012-03-15
EP1346076B1 (en) 2017-03-22
IL156644A0 (en) 2004-01-04
WO2002057506A3 (en) 2003-02-13
JP2004523894A (ja) 2004-08-05
CN1489641A (zh) 2004-04-14
US20020086118A1 (en) 2002-07-04
JP5166591B2 (ja) 2013-03-21
JP2012248886A (ja) 2012-12-13

Similar Documents

Publication Publication Date Title
KR100899965B1 (ko) 저오염의 플라즈마 챔버 부품 및 그 제조방법
KR100849866B1 (ko) 반도체 처리 장비의 내부식성 컴포넌트 및 그 제조방법
JP4860087B2 (ja) エッチング方法
US6464843B1 (en) Contamination controlling method and apparatus for a plasma processing chamber
US4427516A (en) Apparatus and method for plasma-assisted etching of wafers
US7811428B2 (en) Method and apparatus for an improved optical window deposition shield in a plasma processing system
KR20030086618A (ko) 반도체 공정 설비내의 세륨 옥사이드 함유된 세라믹 부품및 코팅
JP4554815B2 (ja) 汚染制御方法およびプラズマ処理チャンバ
JP2004513516A (ja) 拡張されたプロセスウィンドウを有する誘電体エッチングチャンバ
JPWO2002058125A1 (ja) プラズマ処理装置およびプラズマ処理方法
KR20120046072A (ko) 플라즈마 반응기용 샤워헤드 구조
JP2004296753A (ja) プラズマ露出部品及びその表面処理方法並びにプラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130508

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140513

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150511

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160510

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170512

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180510

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190516

Year of fee payment: 11