JP2004523894A - 低汚染プラズマチャンバ構成部品とその製造方法 - Google Patents

低汚染プラズマチャンバ構成部品とその製造方法 Download PDF

Info

Publication number
JP2004523894A
JP2004523894A JP2002558556A JP2002558556A JP2004523894A JP 2004523894 A JP2004523894 A JP 2004523894A JP 2002558556 A JP2002558556 A JP 2002558556A JP 2002558556 A JP2002558556 A JP 2002558556A JP 2004523894 A JP2004523894 A JP 2004523894A
Authority
JP
Japan
Prior art keywords
plasma
component
coating
chamber
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002558556A
Other languages
English (en)
Other versions
JP2004523894A5 (ja
JP4890734B2 (ja
Inventor
クリストファー, シー. チャン,
ロバート, ジェイ. セガー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2004523894A publication Critical patent/JP2004523894A/ja
Publication of JP2004523894A5 publication Critical patent/JP2004523894A5/ja
Application granted granted Critical
Publication of JP4890734B2 publication Critical patent/JP4890734B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02TCLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO TRANSPORTATION
    • Y02T50/00Aeronautics or air transport
    • Y02T50/60Efficient propulsion technologies, e.g. for aircraft
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal
    • Y10T428/31681Next to polyester, polyamide or polyimide [e.g., alkyd, glue, or nylon, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31721Of polyimide

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

プラズマ処理チャンバに用いられる構成部品は、ポリマーの付着を促進する表面粗さ特性を持つプラズマ露出面を有する。粗くされた面は、セラミック又は高温ポリマー等の被覆材料(32)を構成部品の面上に溶射するプラズマによって形成される。本発明のプラズマ溶射構成部品は、処理中にプラズマに露出した面を持つプラズマ反応室の構成部品に用いることができる。適当な構成部品としては、チャンバ壁、チャンバライナー(30)、バッフルリング、ガス供給板(22)、プラズマ閉じ込めリング(14)及びライナ支持体が含まれる。ポリマーの付着を向上させることによって、プラズマ溶射構成部品の面は、処理チャンバ内のパーティクル汚染レベルを低下し、それによって歩留まりを改善し、チャンバ構成部品を洗浄及び/又は取り替えるのに必要な停止時間を減らすことができる。

Description

【技術分野】
【0001】
発明の背景
発明の分野
本発明は、一般的に半導体ウェハの製造に関し、特に、処理中のパーティクル汚染を低減する構成部品を有するプラズマエッチングチャンバに関する。
【背景技術】
【0002】
関連技術の説明
半導体処理の分野では、エッチングガス又は成膜ガスを真空チャンバに供給し、RF電界をガスに印加して、ガスをプラズマ状態に活性化することによる、基板上の材料のエッチング及び化学気相成長法(CVD)のための、真空処理チャンバが一般的に用いられている。平行平板の例としては、誘導結合プラズマ(ICP)とも呼ばれる変圧器結合プラズマ(TCPTM)、電子サイクロトロン共鳴(ECR)反応器及びそれらの構成部品が、本願と同じ出願人による米国特許第4,340,462号、同4,948,458号、同5,200,232号及び同5,820,723号に開示されている。
【0003】
半導体集積回路の製造では、部品トランジスタ等のデバイスは、典型的にはシリコンから作られる半導体ウェハ又は基板上に形成される。ウエハの上に配置された金属層から典型的にはエッチングされた金属配線が、その後に所望の回路を形成すべくデバイスを連結するために用いられる。金属層としては、銅、アルミニウム又はAl--Cu、Al--Si又はAl--Cu--Si等の既知のアルミニウム合金のうちの1つが典型的には含まれる。反射防止膜(ARC)層及び重ねられたフォトレジスト(PR)層が、金属層の上部に形成されてもよい。ARC層は、TiN又はTiW等のチタン含有層を典型的には含む。前述の金属配線を形成するために、金属層を含む積層中の層の一部が、適当なフォトレジスト技術を用いてエッチングされうる。マスクで保護されていない金属層の領域は、その後適当なエッチング原料ガスを用いてエッチングされ、金属配線又はフィーチャ(features)を残す。
【0004】
回路の更なる高密度化を図るために、今日の集積回路は、縮小の一途をたどるデザインルールに対応して縮小されている。その結果、フィーチャーサイズ、すなわち配線幅と隣接配線間の間隔(例えば、トレンチ)が次第に減少している。今日の集積回路の細い導体線を形成するために、異方性の高いエッチングが求められている。エッチングの異方性とは、横方向のエッチング速度と縦方向のエッチング速度との比を意味する。縦型のサイドウォールを持つ高アスペクト比のフィーチャを形成するためには、縦方向のエッチング速度が、横方向のエッチング速度よりも相当に大きくなければならない。プラズマエッチングでは、縦型のプロファイルは、サイドウォール・パッシベーション技術を用いて実現されることが多い。このような技術は、エッチング中に反応チャンバ内にポリマー形成化学種(polymer forming species)(一般に、CF4,CHF3,C4F8等のフルオロカーボン)を導入する工程を典型的に含む。エッチング中に形成されるポリマーは、エッチングされたフィーチャのサイドウォール上に好適には堆積し、それによって基板の横方向のエッチングを抑えて、エッチングの異方性を高めることができる。しかしながら、エッチング処理中に、プラズマに露出したエッチングチャンバの様々な構成部品の内部表面上にもポリマー堆積物が形成される。時間が経つと、これらのポリマー堆積物は、剥離したり、剥がれ落ちたりして、プラズマ反応室内のパーティクル汚染源となりうる。
【0005】
プラズマ反応室内で形成されたポリマー堆積物は、典型的には炭素化合物の鎖状分子を含む。ポリマーが処理基板に接触及び付着すると、基板のその部分を汚染し、そこからダイの歩留まりが低下しうる。ポリマー堆積物は、全てのチャンバー面上、特に、チャンバカバーの裏面或いは基板の面と向かい合うガス供給板に加えて、処理ガス・インレットチューブ(process gas inlet tubes)近傍のチャンバ筐体の面上に堆積しうる。チャンバの内面上に堆積したポリマーは、基板上をマイグレーションして、基板欠陥を形成しうる。ポリマー・パーティクル汚染は、度重なるプラズマ処理サイクル中における、反応室の構成部品の熱サイクルによって悪化する。反応室の構成部品のプラズマ露出面を何度も加熱・冷却することは、ポリマー堆積物と反応室の面との間の熱膨張率差によって、付着したポリマー堆積物が剥離したり、剥がれ落ちたりする原因となりうる。ポリマー堆積物は、プラズマ中の反応種による照射によっても除去されうる。
【0006】
集積回路デバイスが、その物理サイズ及び動作電圧の両方において縮小し続けるにつれて、それに関連した製造歩留まりは更にパーティクル汚染の影響を受けやすくなる。その結果、更に小さな物理サイズを持つ集積回路を作製するには、従来は許容されたよりも少ないパーティクル汚染度が要求される。プラズマ反応室内のパーティクル汚染を低減するために、様々な方法が用いられている。例えば、米国特許第5,366,585号、同5,391,275号、同5,401,319号、同5,474,649号、同5,851,343号、同5,916,454号、同5,993,594号、同6,120,640号及び同6,155,203号を参照されたい。
【0007】
パーティクル汚染を低減するために、プラズマ反応室は、ポリマー堆積物を除去すべく定期的に洗浄されうる。プラズマ洗浄処理は、米国特許第5,486,235号、同5,676,759号及び同5,685,916号に開示されている。更に、プラズマ反応室の部品は、新しい反応室の部品と定期的に典型的には取り替えられる。
【0008】
反応チャンバ内部のパーティクル汚染度を低減するプラズマ反応室の構成部品を提供することが望ましいであろう。このような部品を用いることによって、歩留まりを改善し及び/又はプラズマ反応室の構成部品の洗浄又は取り替えの期間を長くすることができる。
【発明の開示】
【課題を解決するための手段】
【0009】
発明の概要
本発明者らは、プラズマ反応室内のパーティクル汚染が、反応室のプラズマ露出面上にセラミック又は高温ポリマー等の被覆材料をプラズマ溶射することによって、減少されうることを発見した。プラズマ溶射された材料は、ポリマー堆積物の付着を促進する所望の表面粗さ特性を有する被膜を形成する。チャンバの面上への改善ポリマー堆積物の付着を改善することによって、堆積物がチャンバの面で剥離したり、剥がれ落ちたりする傾向を抑え、これによって反応室内のパーティクル汚染度を低減させることができる。プラズマ反応室の構成部品上へのポリマー堆積物の付着を改善することによって、反応室の構成要素の洗浄又は取り替え頻度がより少なくて済み、それによってプラズマ反応室の動作コストを低減することができる。
【0010】
本発明の一実施形態によれば、プラズマ反応室の構成部品を作製する方法が提供される。反応室の構成部品は、使用中にプラズマに露出した1又は複数の面を有する。当該方法は、ポリマー堆積物の付着を促進する表面粗さ特性を有する被膜を形成するための構成部品のプラズマ露出面上に被膜材料をプラズマ溶射する工程を含む。
【0011】
本発明の他の実施形態によれば、処理中にプラズマに露出した1つ又は複数の面を有するプラズマ反応室の構成部品が提供される。前記構成部品は、そのプラズマ露出面上にプラズマ溶射された被膜を含む。前記被膜は、ポリマー堆積物の付着を促進する表面粗さ特性を有する。
【0012】
本発明の他の実施形態によれば、上記の1つ又は複数の構成部品を含むプラズマ反応室及びその中の基板を処理する方法も提供される。前記方法は、基板の露出面をプラズマに接触させる工程を含む。
【0013】
本発明は、添付図面を参照してより詳細に示される。図面中では、同様の構成要素は同様の参照番号を持つ。
【発明を実施するための最良の形態】
【0014】
発明の詳細な説明
本発明によって、半導体(例えば、シリコン、ガリウムヒ素等)ウエハ、フラットパネル・ディスプレイ基板等の基板のパーティクル汚染の減少を改善することができる。特に、本発明は、ポリマーの付着を促進する表面粗さ特性を持つプラズマ露出面を有するプラズマ処理チャンバに用いられる構成部品を提供するものである。この粗くされた面は、基板上にセラミック又はポリマー材料等の被膜材料をプラズマ溶射することによって形成される。本発明のプラズマ溶射された構成部品は、処理中にプラズマに露出した任意のプラズマ反応室の構成部品に用いることができる。適当な構成部品としては、例えば、チャンバライナ、バッフルリング、ガス供給板、フォーカスリング、プラズマ閉じ込めリング、ペデスタル及びライナ支持体が含まれる。
【0015】
本発明の反応室の構成部品は、金属材料又はセラミック材料で作られうる。適当な金属材料としては、アルミニウムが含まれる。プラズマ溶射で被覆されるアルミニウム表面は、ベア(自然酸化層を除く)であるか又は陽極酸化処理されたものであってよい。一方、反応室の構成部品は、アルミナ、シリカ、クオーツ、チタニア、ジルコニア、イットリア、炭化チタン、炭化ジルコニウム、炭化シリコン、炭化ホウ素、窒化アルミニウム、窒化チタン、窒化シリコン及び/又は窒化ホウ素等で作られてもよい。セラミック構成部品は、バルク部品中でのホットプレス及びセラミック粉末のシンタリング(sintering)等の任意の従来のセラミック製造技術によって作られてもよい。
【0016】
本発明では、処理中にプラズマに露出した反応室の構成部品の任意の又は全ての表面が、セラミック又は高温ポリマー等のプラズマ溶射された材料によって被覆される。本発明に係るプラズマ溶射された被膜は、エッチング中にプラズマチャンバ内に形成されたポリマー堆積物の付着を促進する表面粗さ特性を持つ反応室の内面を提供する。本発明において、本発明の被膜は、プラズマ反応室内の基板処理中に作りされたポリマー副生成物の付着の改善を実現するのに適した表面粗さ値(surface roughness values)(Ra)を好適には持つ。例えば、本発明に係るプラズマ溶射された表面の表面粗さ値(Ra)の相加平均は150〜190マイクロインチに及びうる。この範囲における表面粗さ値は、金属エッチング等のプラズマエッチング処理中に、反応チャンバの内面上に堆積したポリマーの付着を促進する。
【0017】
プラズマ溶射処理は、溶解した又は熱で軟化した材料を基板上に溶射する工程を典型的には含む。図1は、典型的なプラズマ溶射処理を示す図である。一般的には粉末112の形態である被覆材料は、急激に加熱された高温プラズマ炎(plasma flame)114に注入されて、高速に加速される。この高温の材料は、基板表面116上にぶつかって、急速に冷却して被膜118を形成する。
【0018】
プラズマ溶射銃120は、アノード122(一般的には銅で作られる)及びカソード124(一般的にはタングステンで作られる)典型的には備え、これらは共に水冷されうる。プラズマガス126(例えば、アルゴン、窒素、水素、ヘリウム等)は、一般的に矢印128で示される方向でカソードの周囲、そして圧縮ノズルとして形成されたアノード122を通って流れる。プラズマは、カソード124とアノード122との間に、局所的な電離と直流アーク用の導電経路とを形成する高電圧放電によって発生する。アークからの抵抗加熱(resistance heating)によって、ガスが非常に高温に達して、解離及び電離してプラズマを形成する。プラズマは、自由プラズマ炎又は中性プラズマ炎(free or neutral plasma flame)(電流を運ばないプラズマ)としてアノード122から出て行く。プラズマが安定化して溶射の準備ができると、電気アーク(electric arc)がノズルの下に延びる。粉末112は、通常はアノードノズル出口134の近傍に配置された外部粉末ポート132を介してプラズマ炎中に送り込まれる。粉末112は、溶解した状態又は熱で軟らかくなった状態の粉末パーティクル112が基板116上に衝撃を与えるように、急激に加熱及び加速される。
【0019】
プラズマ溶射された被膜を形成する被膜/基板界面及びパーティクル間での様々な結合メカニズムが示されうる。一般的には、機械的な連結(mechanical interlocking)及び拡散結合(diffusion bonding)の両方が起こる。結合メカニズムとして示され得るものには、機械的キーイング又は連結(mechanical keying or interlocking)、拡散結合(diffusion bonding)及び他の接着、化学結合及び物理結合(例えば、ファン・デル・ワールス力)メカニズムが含まれる。結合及びそれに続くプラズマ溶射された被膜のビルドアップ(build up)に影響を与える要因としては、基板表面の清浄度、結合に利用可能な表面積、表面トポグラフィー若しくは表面プロファイル、パーティクル及び基板の温度(熱エネルギ)、時間(例えば、反応速度及び冷却速度等)、粉末パーティクルの速度(運動エネルギ)、基板及び粉末パーティクルの物理的・化学的特性及び処理中に生じ得る任意の物理的・化学的反応が含まれる。
【0020】
本発明では、洗浄、グリットブラスト又はビードブラスト(grit or bead blasting)が、プラズマ溶射された被膜の結合のためのより化学的・物理的に活性な構成部品表面を提供するために用いられ得る。グリットブラスト又はビードブラストによって、結合に利用可能な表面積が増大し、その結果、被膜の結合力が高まる。アルミナチャンバの構成部品については、被膜される表面が汚染のないアルミニウム酸化物媒体によって好適にはグリットブラストされる。粗くされた面は、次に、空気又はCO2を用いて表面をブラストする、及び/又は、酸性溶液を用いて表面を洗浄する等の任意の適当な技術によって、疎結合のパーティクルを取除くために洗浄されうる。この処理による基板の粗面のプロファイルは、基板を覆う被膜の機械的なキーイング又は結合の促進に役立ちうる。
【0021】
一度、プラズマ溶射された被膜が適用されると、被膜の露出面は任意の適当な技術を用いて洗浄されうる。適当な技術としては、空気又は CO2及び/又は超音波洗浄を用いて表面をブラストするものが含まれる。これらの洗浄工程は、基板のプラズマ処理中に構成部品を使用する前に、構成部品の表面の所望レベルの表面清浄度又は条件を実現するために、繰り返され得る。
【0022】
プラズマ溶射は、超硬合金及びセラミック等の非常に融点の高い金属を溶射できるという利点がある。プラズマ溶射されたセラミック被膜は、例えば、様々なプラズマ反応室の構成部品のための保護被膜として用いられている。例えば、米国特許第5,560,780号、同5,879,523号、同5,993,594号及び同6,120,640号を参照されたい。更に、プラズマ溶射処理は、ポリイミド等の高融点熱可塑性物質及び熱硬化性重合体用にも開発されている。
【0023】
本発明では、プラズマ溶射された被膜は、プラズマによる侵食に耐性のある任意の材料であってよい。例えば、反応室の構成部品として用いるのに適した任意のセラミック材料は、これらの材料がプラズマ溶射され得る限り、被膜としても用いられ得る。被膜がセラミック材料の場合では、被膜は下地の構成部品と同様の材料であるのが好ましい。構成部品と被膜に対して同じ材料を用いることによって、被膜と構成部品との間の熱膨張係数(CTE)差が最小化されるか又は無くなり得る。これらのCTE差は、結果として使用中の反応室の構成部品の熱サイクル中に被膜材料が剥離することになり得る。被膜がアルミナの場合では、被膜は2〜5ミル(0.002〜0.005インチ)の範囲の厚さで構成部品に適用されるのが望ましい。
【0024】
被膜は、ポリマー材料であってもよい。被膜がポリマーである場合では、ポリマーは構成部品上に固く付着した被膜を形成するためにプラズマ溶射されうる。好適な高温ポリマーは、DuPont社の登録商標であるベスペル(登録商標)等のポリイミドである。ポリイミド被膜は、10〜30ミル(0.010〜0.030インチ)の厚さで構成部品に適用されることが望ましい。
【0025】
基板のプラズマエッチングにおいて、フィーチャ(feature)がシリコンウェハ等の基板上の様々な材料の層中にエッチングされる。エッチングされる材料として典型的ななものには、金属及び酸化物(例えば、SiO2)等の誘電体材料が含まれる。このようなエッチング処理において、ガス供給板は、基板面上方の、反応室容積内におけるガス流の空間分布を制御するために用いられうる。ポリマーのビルドアップ(build-up)は、その中で高周波(RF)源に接続されたアンテナが処理チャンバ内でガスにエネルギを与えてプラズマ状態にする、プラズマ反応室内で特に問題となりうる。このタイプのプラズマ反応室は、米国特許第4,948,458号、同5,198,718号、同5,241,245号、同5,304,279号、同5,401,350号、同5,531,834号、同5,464,476号、同5,525,159号、同5,529,657号及び同5,580,385号に開示されている。このようなシステムでは、アンテナは、誘電体ウィンドウ、ガス供給板、エポキシ封止層等の誘電体部材によって処理チャンバ内部から分離され、RFエネルギは、この誘電体部材を通してチャンバに供給される。このような処理システムは、エッチング、成膜、レジスト剥離等の様々な半導体処理アプリケーションに用いられ得る。
【0026】
前述のタイプのプラズマ反応室内での半導体ウェハの酸化物又は金属をエッチングする間は、ポリマー堆積物が誘電体部材の露出面又はウェハに面するガス供給板を含む反応室の内面上に蓄積(build up)しうる。ポリマー堆積物(build-up)の深さが増すにつれて、ポリマーは誘電体部材は剥離したり、剥がれ落ちたりする傾向がある。誘電部材が基板及びチャックの真上に配置されると、ポリマーパーティクルは下方にある基板又はチャックの真上に落下しうる。これらのポリマーパーティクルは、基板内に欠陥を生み出して、その結果歩留まりを低下させうる。一方、パーティクルはチャック表面でマイグレーションしてチャッキングの不具合を引き起こす。
【0027】
典型的には、エッチング処理を定期的に停止して、パーティクル汚染のレベルを減少するために内部チャンバ表面が洗浄される(例えば、ドライエッチング処理)。しかしながら、不適切な又は不完全な洗浄は、チャンバ内のパーティクル汚染レベルを現実に増加させうる。更に、洗浄に要求される“停止時間”による遅れも、生産歩留まりの実質的な低下を意味する。したがって、エッチングチャンバの内面上のポリマーの堆積を制御することは、プラズマ反応室内の基板の高い歩留まりを達成し、スループットを維持するのに望ましい。
【0028】
上述のタイプの例示的な金属エッチング反応室は、カリフォルニア州フレモントにあるラムリサーチコーポレーションから入手できるTCPTM9600プラズマ反応室として知られている変圧器結合プラズマ反応室である。図2は、TCPTM9600プラズマ反応室の概略図である。図2では、プラズマ処理チャンバ152を含む反応室150が示されている。チャンバ152上にはプラズマを生成するアンテナ156が配置されており、図2の例に挙げたプレーナコイルによって実施される(implemented)。高周波コイル156は、マッチング回路網(不図示)を介して高周波発生器158によって典型的にはエネルギが与えられる。チャンバ152内には、シャワーヘッド154が提供されており、エッチャント原料物質等のガス状原料物質をシャワーヘッドとウエハ170との間のRF誘導プラズマ領域(RF-induced plasma region)に放出するための複数の孔を含むのが好ましい。
【0029】
ガス状原料物質は、チャンバ152の壁に形成されたポートからも放出されうる。エッチャント原料物質は、アルミニウム又はその合金の1つをエッチングする場合には、例えば、Cl2及びBCl3等のハロゲンガスを含む。サイドウォール保護膜用のハイドロカーボン、フルオロカーボン及びヒドロフルオロカーボン等のポリマー形成化学種に加えて、他のエッチャント化学物質(例えば、CH4、HBr、HCl、CHCl3)が用いられてもよい。これらのガスは、随意的な不活性及び/又は非反応性ガスと共に用いられてもよい。
【0030】
使用時には、ウエハ170は、チャンバ壁172で定められるチャンバ152中に導入され、下部電極又は第二電極としての役割を果たす基板支持体162上に配置される。ウエハは、高周波発生器164(また、典型的にはマッチング回路網を介して)によって好適にはバイアスされる。ウエハは、その上に作られた複数の集積回路(ICs)を含む。これらの集積回路としては、例えば、PLA、FPGA及びASIC等のロジックデバイス、或いは、ランダムアクセスメモリ(RAM)、ダイナミックRAM(DRAM)、シンクロナスDRAM(SDRAM)若しくはリードオンリーメモリ(ROM)等のメモリ装置を含みうる。RF電力が印加されると、反応性化学種(reactive species)(エッチャント原料ガスから作られる)がウエハ170の露出面をエッチングする。揮発性であり得る副生成物が、その後、出口ポート166を通して排出される。処理が終了した後は、ウエハは、ICを個々のチップに分離するためにダイスカットされうる。
【0031】
本発明では、プラズマ閉じ込めリング(不図示)、チャンバ壁172、チャンバライナ(不図示)及び/又はシャワーヘッド154のプラズマ露出面は、ポリマーの付着を促進する表面粗さ特性を持つプラズマ溶射された被膜160を備え得る。更に、基板支持体168のプラズマ露出面は、本発明に係るプラズマ溶射された被膜(不図示)も備え得る。本方法では、高密度プラズマを閉じ込める実質的に全ての面が、ポリマーの付着を促進する表面粗さ特性を持つことができる。本方法では、反応室内のパーティクル汚染は、実質的に減少され得る。
【0032】
本発明の反応室の構成部品は、高密度酸化物エッチングプロセスで用いられてもよい。例示的な酸化物エッチング反応室は、カリフォルニア州フレモントにあるラムリサーチコーポレーションから入手可能なTCP9100TMプラズマエッチング反応室である。TCP9100TM反応室では、ガス供給板は、TCPTMウィンドウの真下に位置した円板である。このウィンドウは、また、半導体ウエハの上方かつ平行な面内の反応室上部での真空シール面である。ガス供給リングは、供給源からガス供給板によって定められた容積にガスを供給する。ガス供給板は、板を通って延びる特定の直径の孔の列を含む。ガス供給板を通る孔の空間分布は、ウエハ上のフォトレジスト層、SiO2層及び下層材料等のエッチングされる層のエッチング均一性を最適化するために変更されうる。ガス供給板の断面形状は、反応室内のプラズマへのRF電力の分布を操作するために変更され得る。ガス供給の材料は、ガス供給板を通して反応室内へRF電力をカップリング(coupling)できる誘電体材料から作られる。更に、ガス供給板の材料は、破壊やその結果として生まれるパーティクルの発生を防止するために、酸素又はヒドロフルオロカーボンガスプラズマ等の環境で、化学的なスパッタエッチングに強い耐性があるのが望ましい。
【0033】
本発明の一実施形態に係る酸化物エッチングのための真空処理チャンバが図3に示される。真空処理チャンバ10は、その上に支持される基板へのRFバイアスに加えて、静電クランピング力(electrostatic clamping force)を基板13に与える下部電極の形態の基板ホルダ12を含む。基板は、ヘリウム等の熱伝導ガスを用いて裏面冷却されてもよい。適当なRF源によって電力が供給される平面スパイラルコイル形態のアンテナ18等のチャンバ内で高密度(例えば、1011-1012ions/cm3)プラズマを維持するエネルギ源及びRFインピーダンスマッチング回路は、高密度プラズマを提供するために誘導的にRFエネルギをチャンバに結合する。チャンバは、所望の圧力(例えば、50mTorr以下、典型的には1-20mTorr)にチャンバ内部を維持するための適当な真空ポンプ装置を含む。厚さが均一で実質的に平面な誘電体ウィンドウ20は、アンテナ18と処理チャンバ10内部との間に提供され、処理チャンバ10の上部に真空の壁を形成する。一般的にシャワーヘッド22と呼ばれるガス供給板は、ウィンドウ20の下に設けられ、ガス供給部23によって供給される処理ガスを処理チャンバ10に供給するための円形の孔(不図示)等の複数の開口部を含む。円錐上のライナ30は、ガス供給板から延びて、基板ホルダ12を取り囲む。アンテナ18は、入口導管(inlet condutis)25及び出口導管(outlet conduits)26を介して温度調節流体が通過するチャネル24を備えることができる。しかしながら、アンテナ18及び/又はウィンドウ20は、アンテナ及びウィンドウの上に空気を吹き付けたり、冷却媒体を通したり、ウィンドウ及び/又はガス供給板と熱伝導接触させたりする等の他の技術によって冷却されてもよい。
【0034】
動作中は、ウエハは、基板ホルダ12上に配置され、静電クランプ、機械的クランプ又は他のクランプ機構によって典型的には所定位置に保持される。処理ガスは、その後、ウィンドウ20とガス供給板22との間のギャップを通して処理ガスを通過させることによって、真空処理チャンバ10に供給される。適当なガス供給板機構(例えば、シャワーヘッド)は、本願と同じ出願人による米国特許第5,824,605号、同5,863,376号及び同6,048,798号に開示されている。
【0035】
ガス供給板は、様々な設計が可能であり、その一例が図4に示される。図4に示されるガス供給板40は、ガス供給板と誘電体ウィンドウとの間に処理ガスを供給する通路を設けるために、その中央近傍に89個の孔41と4個のエンボスメント(embossment)とを含む。
【0036】
図3に示すように、ガス供給板22、チャンバライナ30及び/又はフォーカスリング14のプラズマ露出面は、ポリマーの付着を促進する表面粗さ特性を持つセラミック又はポリマー材料で作られたプラズマ溶射された被膜32を備える。本方法では、反応室内部のパーティクル汚染は、実質的に減少され得る。
【0037】
使用後には、本発明に係る反応室の構成部品の内面は、ポリマー堆積物に被覆されうる。本発明の他の実施形態によれば、現存するプラズマ溶射された被膜及び任意の堆積された堆積物が、構成部品から物理的に除去され、新たなプラズマ溶射された被膜が適用されるように、構成部品が反応室から取り除かれ得る。古い被膜は、研磨又はグリットブラスト(grit blasting)等の機械的手段を用いて除去され得る。本方法では、反応室構成部品は、再利用され得る。
【0038】
本発明に係るプラズマ溶射された被膜の付着特性を測るためにテープ試験が行われた。本発明に係るプラズマ溶射されたアルミナ被膜を有するアルミナガス供給板がTCP9600TM金属エッチング反応室に設置されて用いられた。ホットプレス及びシンタリングされた(hot-pressed and sintered)標準的なアルミナガス供給板(プラズマ溶射された被膜を持たない)が同様の条件下で同じタイプの反応室に用いられた。実質的なポリマー堆積物が両方のガス供給板のプラズマ露出面上に形成された。テープ試験では、テープ片が各々のガス供給板の表面に付着して剥がれ落ちた。プラズマ溶射されたGDPから取除かれたテープ片上には、ポリマーが全く見られなかった。しかしながら、標準的な(プラズマ溶射されていない)GDPから取除かれたテープの目視検査では、その上に実質的なポリマー堆積物があることが明らかになった。
【0039】
前述のものは、本発明に係る原理、好適な実施の形態及び動作モードを示したものである。しかしながら、本発明は、前述した特定の実施の形態に限定するように解釈すべきではない。したがって、上述の実施形態は、限定的ではなく例示的なものであると考えるべきであり、特許請求の範囲に定義されるように、本発明の範囲を逸脱しない限り、当業者によって変形なされ得ると認識すべきである。
【図面の簡単な説明】
【0040】
【図1】図1は、従来のプラズマ溶射処理を示す図である。
【図2】図2は、本発明に係るプラズマ溶射された反応室の構成部品を組み込んだ金属エッチングチャンバを示す図である。
【図3】図3は、本発明に係るプラズマ溶射された反応室の構成部品を組み込んだ高密度酸化物エッチングチャンバを示す図である。
【図4】図4は、本発明の一実施形態に係る図3のエッチングチャンバ用のガス供給板の平面図である。

Claims (31)

  1. 使用中にプラズマに露出した1つ又は複数の面を有するプラズマ反応室の構成部品を製造する方法であって、前記構成部品のプラズマ露出面上に被覆材料をプラズマ溶射して、ポリマー堆積物の付着を促進する表面粗さ特性を有する被膜を形成することを特徴とする方法。
  2. 前記構成部品の前記プラズマ露出面を粗くする工程と、前記被覆材料をプラズマ溶射する前に、前記粗くされた面を洗浄する工程と、を更に含むことを特徴とする請求項1に記載の方法。
  3. 前記プラズマ溶射された被膜の露出面を洗浄する工程を更に含むことを特徴とする請求項1に記載の方法。
  4. 前記被覆材料は、セラミック又はポリマー材料であることを特徴とする請求項1に記載の方法。
  5. 前記構成部品はそれを通る開口部を有し、当該方法は、前記被膜をプラズマ溶射する前に、前記開口部を塞ぐ工程を更に含むことを特徴とする請求項1に記載の方法。
  6. 前記構成部品をプラズマ反応チャンバから取り除く工程と、
    前記構成部品から任意の現存する被膜及び/又は付着したポリマー堆積物を取除くことによって前記構成部品のプラズマ露出面を洗浄する工程であって、この洗浄された面上に前記被膜をプラズマ溶射するより前に行われる洗浄工程と、
    を含むことを特徴とする請求項1に記載の方法。
  7. 前記プラズマ溶射された被膜は、2〜5ミルの厚さを有するセラミック材料であることを特徴とする請求項4に記載の方法。
  8. 前記構成部品及び前記被膜材料は、同じセラミック材料で構成されることを特徴とする請求項4に記載の方法。
  9. 前記被膜材料は、ポリイミドであることを特徴とする請求項4に記載の方法。
  10. 前記被膜は、10〜30ミルの厚さを有することを特徴とする請求項9に記載の方法。
  11. 前記構成部品は、プラズマ閉じ込めリング、フォーカスリング、ペデスタル、チャンバ壁、チャンバライナ及びガス供給板で構成されるグループから選択されることを特徴とする請求項1に記載の方法。
  12. 前記粗くする工程は、前記構成部品の前記面にビードブラストする工程を含むことを特徴とする請求項2に記載の方法。
  13. 前記被膜は、表面粗さ値(Ra)の相加平均が150〜190マイクロインチの間にあることを特徴とする請求項1に記載の方法。
  14. 処理中にプラズマに露出した1つ又は複数の面を有するプラズマ反応器の構成部品であって、
    前記構成部品は、そのプラズマ露出面上にプラズマ溶射された被膜を備え、
    前記被膜は、ポリマー堆積物の付着を促進する表面粗さ特性を有することを特徴とするプラズマ反応器の構成部品。
  15. 前記構成部品は、金属材料又はセラミック材料で作られることを特徴とする請求項14に記載の構成部品。
  16. 前記構成部品は、陽極処理されたか又は陽極処理されていないプラズマ露出面を有するアルミニウムで構成されることを特徴とする請求項15に記載の構成部品。
  17. 前記構成部品は、アルミナ、イットリア、ジルコニア、炭化シリコン、窒化シリコン、炭化ホウ素及び窒化ホウ素で構成されるグループから選択されるセラミック材料で作られることを特徴とする請求項14に記載の方法。
  18. 前記構成部品は、プラズマ閉じ込めリング、フォーカスリング、ペデスタル、チャンバ壁、チャンバライナ及びガス供給板で構成されるグループから選択されることを特徴とする請求項14に記載の方法。
  19. 前記被膜は、セラミック又はポリマー材料であることを特徴とする請求項14に記載の構成部品。
  20. 前記被膜は、アルミナ、イットリア、ジルコニア、炭化シリコン、窒化シリコン、炭化ホウ素及び窒化ホウ素で構成されるグループから選択されるセラミック材料であることを特徴とする請求項19に記載の方法。
  21. 前記構成部品及び前記被膜材料は、同じセラミック材料を含むことを特徴とする請求項20に記載の方法。
  22. 前記被膜は、2〜5ミルの厚さを有することを特徴とする請求項20に記載の構成部品。
  23. 前記被膜は、ポリイミドであることを特徴とする請求項19に記載の構成部品。
  24. 前記被膜は、10〜30ミルの厚さを有することを特徴とする請求項23に記載の構成部品。
  25. 前記被膜は、表面粗さ値(Ra)の相加平均が150〜190マイクロインチの間にあることを特徴とする請求項14に記載の方法。
  26. 請求項14に記載の少なくとも1つの構成部品を備えることを特徴とするプラズマ反応器。
  27. 請求項26に記載のプラズマ反応器内で基板を処理する方法であって、前記基板の露出面をプラズマに接触させる工程を含むことを特徴とする方法。
  28. 前記基板を前記反応器内の基板支持体上に配置する工程と、
    前記反応器内に処理ガスを導入する工程と、
    RFエネルギを前記処理ガスに加えて前記基板の露出面に近接したプラズマを生成する工程と、
    プラズマを用いて前記基板の露出面をエッチングする工程と、
    を更に含むことを特徴とする請求項27に記載の方法。
  29. 前記処理ガスは、少なくとも1つのポリマー形成化学種を含むことを特徴とする請求項28に記載の方法。
  30. 前記基板の前記露出面は、金属材料又は酸化物を含むことを特徴とする請求項27に記載の方法。
  31. 前記構成部品はガス供給板であり、当該方法は、該ガス供給板内の開口部を通して前記反応器へ前記処理ガスを導入する工程を更に含むことを特徴とする請求項28に記載の方法。
JP2002558556A 2000-12-29 2001-12-13 低汚染プラズマチャンバ構成部品とその製造方法 Expired - Lifetime JP4890734B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/749,917 2000-12-29
US09/749,917 US6805952B2 (en) 2000-12-29 2000-12-29 Low contamination plasma chamber components and methods for making the same
PCT/US2001/047571 WO2002057506A2 (en) 2000-12-29 2001-12-13 Low contamination plasma chamber components and methods for making the same

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2010086450A Division JP5371871B2 (ja) 2000-12-29 2010-04-02 低汚染プラズマ反応室の構成部品の製造方法
JP2011239447A Division JP5166591B2 (ja) 2000-12-29 2011-10-31 プラズマエッチング反応器の構成部品、プラズマエッチング反応器及び半導体基板を処理する方法

Publications (3)

Publication Number Publication Date
JP2004523894A true JP2004523894A (ja) 2004-08-05
JP2004523894A5 JP2004523894A5 (ja) 2005-12-22
JP4890734B2 JP4890734B2 (ja) 2012-03-07

Family

ID=25015751

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2002558556A Expired - Lifetime JP4890734B2 (ja) 2000-12-29 2001-12-13 低汚染プラズマチャンバ構成部品とその製造方法
JP2010086450A Expired - Lifetime JP5371871B2 (ja) 2000-12-29 2010-04-02 低汚染プラズマ反応室の構成部品の製造方法
JP2011239447A Expired - Lifetime JP5166591B2 (ja) 2000-12-29 2011-10-31 プラズマエッチング反応器の構成部品、プラズマエッチング反応器及び半導体基板を処理する方法
JP2012181167A Expired - Fee Related JP5593490B2 (ja) 2000-12-29 2012-08-17 プラズマエッチング反応器及びその構成部品並びに半導体基板を処理する方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
JP2010086450A Expired - Lifetime JP5371871B2 (ja) 2000-12-29 2010-04-02 低汚染プラズマ反応室の構成部品の製造方法
JP2011239447A Expired - Lifetime JP5166591B2 (ja) 2000-12-29 2011-10-31 プラズマエッチング反応器の構成部品、プラズマエッチング反応器及び半導体基板を処理する方法
JP2012181167A Expired - Fee Related JP5593490B2 (ja) 2000-12-29 2012-08-17 プラズマエッチング反応器及びその構成部品並びに半導体基板を処理する方法

Country Status (9)

Country Link
US (2) US6805952B2 (ja)
EP (1) EP1346076B1 (ja)
JP (4) JP4890734B2 (ja)
KR (2) KR100899965B1 (ja)
CN (1) CN1285758C (ja)
AU (1) AU2002245088A1 (ja)
IL (1) IL156644A0 (ja)
TW (1) TW563200B (ja)
WO (1) WO2002057506A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020068049A (ja) * 2018-10-19 2020-04-30 サムコ株式会社 誘導結合型プラズマ処理装置の防着板

Families Citing this family (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
CN101250680B (zh) * 2000-12-12 2013-06-26 东京毅力科创株式会社 等离子体处理容器内部件以及等离子体处理装置
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
JP2003264169A (ja) * 2002-03-11 2003-09-19 Tokyo Electron Ltd プラズマ処理装置
JP3958080B2 (ja) * 2002-03-18 2007-08-15 東京エレクトロン株式会社 プラズマ処理装置内の被洗浄部材の洗浄方法
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
WO2004025708A2 (en) * 2002-09-12 2004-03-25 Koninklijke Philips Electronics N.V. Support plate for semiconductor components
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7122125B2 (en) * 2002-11-04 2006-10-17 Applied Materials, Inc. Controlled polymerization on plasma reactor wall
JP3946130B2 (ja) * 2002-11-20 2007-07-18 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US7964085B1 (en) 2002-11-25 2011-06-21 Applied Materials, Inc. Electrochemical removal of tantalum-containing materials
CN1249789C (zh) * 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
KR100459872B1 (ko) 2003-05-07 2004-12-03 삼성전자주식회사 트렌치 게이트를 갖는 매몰 채널형 트랜지스터 및 그제조방법
US20050048876A1 (en) * 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US20050161061A1 (en) * 2003-09-17 2005-07-28 Hong Shih Methods for cleaning a set of structures comprising yttrium oxide in a plasma processing system
US7910218B2 (en) * 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US7022620B2 (en) * 2003-11-18 2006-04-04 Micron Technology, Inc. Conditioning of a reaction chamber
KR100519798B1 (ko) * 2003-12-11 2005-10-10 삼성전자주식회사 향상된 생산성을 갖는 박막 형성 방법
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US7264679B2 (en) * 2004-02-11 2007-09-04 Applied Materials, Inc. Cleaning of chamber components
US20050215059A1 (en) * 2004-03-24 2005-09-29 Davis Ian M Process for producing semi-conductor coated substrate
US7604841B2 (en) 2004-03-31 2009-10-20 Tokyo Electron Limited Method for extending time between chamber cleaning processes
US7618769B2 (en) * 2004-06-07 2009-11-17 Applied Materials, Inc. Textured chamber surface
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US20060086458A1 (en) * 2004-10-25 2006-04-27 Kim Hong J Ceramic materials in plasma tool environments
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
CN100510167C (zh) * 2004-12-30 2009-07-08 中国科学院半导体研究所 金属有机物化学气相沉积设备反应室中的反烘烤沉积结构
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7313310B2 (en) * 2005-05-25 2007-12-25 Honeywell International Inc. Plasma directing baffle and method of use
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US20070032072A1 (en) * 2005-08-02 2007-02-08 Stmicroelectronics Inc. Nucleation layer deposition on semiconductor process equipment parts
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US7976641B1 (en) 2005-09-30 2011-07-12 Lam Research Corporation Extending storage time of removed plasma chamber components prior to cleaning thereof
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US20070113783A1 (en) * 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US8790499B2 (en) * 2005-11-25 2014-07-29 Applied Materials, Inc. Process kit components for titanium sputtering chamber
US20070207267A1 (en) * 2006-02-08 2007-09-06 Laube David P Disposable liners for etch chambers and etch chamber components
US7541094B1 (en) * 2006-03-03 2009-06-02 Quantum Global Technologies, Llc Firepolished quartz parts for use in semiconductor processing
US20070283884A1 (en) * 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US7541289B2 (en) * 2006-07-13 2009-06-02 Applied Materials, Inc. Process for removing high stressed film using LF or HF bias power and capacitively coupled VHF source power with enhanced residue capture
CN100459032C (zh) * 2006-09-30 2009-02-04 中芯国际集成电路制造(上海)有限公司 减少反应室颗粒的工艺方法
US20100139557A1 (en) * 2006-10-13 2010-06-10 Solopower, Inc. Reactor to form solar cell absorbers in roll-to-roll fashion
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US20080233403A1 (en) * 2007-02-07 2008-09-25 Timothy Dyer Method of Making Ceramic Reactor Components and Ceramic Reactor Component Made Therefrom
US20080196661A1 (en) * 2007-02-20 2008-08-21 Brian West Plasma sprayed deposition ring isolator
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US20080268281A1 (en) * 2007-04-27 2008-10-30 Quan Bai Shield Components With Enhanced Thermal and Mechanical Stability
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
CN101889329B (zh) * 2007-10-31 2012-07-04 朗姆研究公司 长寿命可消耗氮化硅-二氧化硅等离子处理部件
JP4591722B2 (ja) * 2008-01-24 2010-12-01 信越化学工業株式会社 セラミックス溶射部材の製造方法
US20090261065A1 (en) * 2008-04-18 2009-10-22 Lam Research Corporation Components for use in a plasma chamber having reduced particle generation and method of making
CN101620981B (zh) * 2008-06-30 2011-07-06 中芯国际集成电路制造(北京)有限公司 半导体制程中无机膜层刻蚀方法及浅沟槽隔离区形成方法
CN101643895B (zh) * 2008-08-04 2011-03-23 中芯国际集成电路制造(北京)有限公司 等离子体反应腔室预处理方法
CN101740336B (zh) * 2008-11-12 2013-03-27 北京北方微电子基地设备工艺研究中心有限责任公司 腔室窗及等离子体工艺腔室
SG162642A1 (en) * 2009-01-06 2010-07-29 Frontken Singapore Pte Ltd Techniques for maintaining a substrate processing system
EP2398847A4 (en) * 2009-02-23 2014-04-16 Graphic Packaging Int Inc LOW CRYSTALLINITY SUSCEPTOR FILMS
US9284108B2 (en) 2009-02-23 2016-03-15 Graphic Packaging International, Inc. Plasma treated susceptor films
US20100213192A1 (en) * 2009-02-23 2010-08-26 Middleton Scott W Plasma Treated Susceptor Films
TWI456679B (zh) * 2009-03-27 2014-10-11 Advanced Micro Fab Equip Inc 抗電漿腐蝕之反應室部件、其製造方法以及包含該部件之電漿反應室
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
US20110220285A1 (en) * 2010-02-12 2011-09-15 Morgan Advanced Ceramics, Inc. Methods and systems for texturing ceramic components
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
CN102154630A (zh) * 2010-09-30 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体反应腔室及其设备、部件的制造方法和处理基片的方法
DE102011005639B4 (de) * 2011-03-16 2016-05-25 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Reduzieren der Defektrate während des Abscheidens einer Kanalhalbleiterlegierung in ein in-situ-abgesenktes aktives Gebiet
US20130068320A1 (en) * 2011-06-17 2013-03-21 Son Nguyen Protective material for gas delivery in a processing system
US9396912B2 (en) 2011-10-31 2016-07-19 Lam Research Corporation Methods for mixed acid cleaning of showerhead electrodes
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
CN103484809B (zh) * 2012-06-14 2015-09-02 北京北方微电子基地设备工艺研究中心有限责任公司 抗腐蚀涂层的制作方法、抗腐蚀涂层、等离子体加工设备
US9604249B2 (en) * 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
KR102101192B1 (ko) 2012-07-27 2020-04-21 어플라이드 머티어리얼스, 인코포레이티드 조면화된 기판 지지부
KR101419515B1 (ko) * 2012-09-24 2014-07-15 피에스케이 주식회사 배플 및 배플의 표면처리장치, 그리고 기판 처리 장치 및 표면 처리 방법
US20140097752A1 (en) * 2012-10-09 2014-04-10 Varian Semiconductor Equipment Associates, Inc. Inductively Coupled Plasma ION Source Chamber with Dopant Material Shield
CN103794458B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP5578383B2 (ja) 2012-12-28 2014-08-27 Toto株式会社 耐プラズマ性部材
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US8893702B2 (en) 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
CN103426802B (zh) * 2013-08-22 2016-03-30 上海科秉电子科技有限公司 一种蚀刻机的聚焦环和屏蔽环的用后处理方法
US9101954B2 (en) 2013-09-17 2015-08-11 Applied Materials, Inc. Geometries and patterns for surface texturing to increase deposition retention
US9384937B2 (en) * 2013-09-27 2016-07-05 Varian Semiconductor Equipment Associates, Inc. SiC coating in an ion implanter
KR102370665B1 (ko) 2014-03-05 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 챔버 입자들을 감소시키기 위한 중요 챔버 구성요소 표면 개선
KR101559874B1 (ko) * 2014-03-21 2015-10-15 피에스케이 주식회사 기판 처리 장치 및 챔버 제조 방법
US9355823B2 (en) 2014-05-09 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for removing particles from etching chamber
JP2016009711A (ja) * 2014-06-23 2016-01-18 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
JP6156850B2 (ja) * 2014-12-25 2017-07-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の部材の交換判断方法
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
CN104630746A (zh) * 2015-01-27 2015-05-20 中国科学院微电子研究所 一种内衬、内衬构成的腔室及内衬表面的处理方法
KR102376982B1 (ko) * 2015-04-14 2022-03-21 삼성전자주식회사 세라믹을 이용하여 파티클 저감 효과를 가지는 원격 플라즈마 발생장치
US10049862B2 (en) 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
KR102447682B1 (ko) * 2015-05-29 2022-09-27 삼성전자주식회사 코팅층 형성 방법, 플라즈마 처리 장치 및 패턴 형성 방법
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US10679830B2 (en) * 2016-06-20 2020-06-09 Applied Materials, Inc. Cleaning process for removing boron-carbon residuals in processing chamber at high temperature
CN106847678B (zh) * 2017-02-14 2020-01-24 上海华虹宏力半导体制造有限公司 一种解决ono结构刻蚀缺陷的方法
KR102658105B1 (ko) * 2017-05-31 2024-04-16 램 리써치 코포레이션 튜닝가능/교체가능한 에지 커플링 링에 대한 검출 시스템
CN107287592B (zh) * 2017-08-14 2019-03-19 燕山大学 一种纯铜表面激光熔覆制备二氧化锆-碳化硼增强熔覆层的方法
CN109423606A (zh) * 2017-08-24 2019-03-05 中微半导体设备(上海)有限公司 聚焦环及其耐腐蚀防护方法
CN109671607B (zh) * 2017-10-17 2021-12-17 北京北方华创微电子装备有限公司 工件的加工方法和工艺腔室
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11139151B1 (en) * 2018-03-15 2021-10-05 Intel Corporation Micro-surface morphological matching for reactor components
CN110359026A (zh) * 2018-03-26 2019-10-22 东莞新科技术研究开发有限公司 一种隔板的处理方法
CN111954801B (zh) * 2018-05-04 2023-12-01 应用材料公司 用于处理腔室的纳米颗粒测量
CN112384641A (zh) * 2018-07-03 2021-02-19 朗姆研究公司 用于调节陶瓷涂层的方法
JP2021531410A (ja) * 2018-07-26 2021-11-18 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ構成要素のための表面コーティング
SG11202101349SA (en) * 2018-09-26 2021-04-29 Applied Materials Inc Gas distribution assemblies and operation thereof
CN112071733B (zh) 2019-06-10 2024-03-12 中微半导体设备(上海)股份有限公司 用于真空处理设备的内衬装置和真空处理设备
KR20220018053A (ko) * 2019-06-12 2022-02-14 램 리써치 코포레이션 플라즈마 프로세싱 챔버 컴포넌트들을 위한 실란트 (sealant) 코팅
JP6744957B1 (ja) * 2019-06-25 2020-08-19 株式会社アルバック 表面処理方法
US11701802B2 (en) * 2019-11-05 2023-07-18 GM Global Technology Operations LLC Enthalpy-driven self-hardening process at the polymeric/metal layer interface with an interdiffusion process
CN115280467A (zh) * 2019-11-08 2022-11-01 应用材料公司 减少材料表面粗糙度的方法
CN113035679B (zh) * 2019-12-24 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
JP7454983B2 (ja) 2020-03-30 2024-03-25 東京エレクトロン株式会社 エッジリング及びプラズマ処理装置
CN113594014B (zh) * 2020-04-30 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、等离子体反应装置及零部件加工方法
US11430893B2 (en) * 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
CN111681957B (zh) * 2020-07-24 2022-03-11 上海华虹宏力半导体制造有限公司 刻蚀方法及半导体器件的制造方法
CN114308900A (zh) * 2021-12-22 2022-04-12 深圳泰德半导体装备有限公司 等离子清洗机

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63194771A (ja) * 1987-02-04 1988-08-11 Mitsubishi Heavy Ind Ltd 樹脂のコ−テイング方法
JPH05302175A (ja) * 1992-04-24 1993-11-16 Deitsupusoole Kk 防汚シート
JPH0762296A (ja) * 1993-08-31 1995-03-07 Mitsubishi Heavy Ind Ltd ポリイミド樹脂塗料及びそれを用いた塗膜の形成方法
JPH0837180A (ja) * 1994-03-08 1996-02-06 Internatl Business Mach Corp <Ibm> 工程の安定性を得るために温度制御を行うホット・ウォール反応性イオン・エッチング
JPH09272965A (ja) * 1996-04-09 1997-10-21 Toshiba Corp 真空成膜装置用部品とそれを用いた真空成膜装置、およびターゲット、バッキングプレート
JPH1032186A (ja) * 1996-07-15 1998-02-03 Matsushita Electron Corp プラズマ処理装置
JPH10229058A (ja) * 1996-11-26 1998-08-25 Applied Materials Inc コーティング付き堆積チャンバ装置
JPH10512013A (ja) * 1994-12-29 1998-11-17 スプレーテック、インク. 熱的吹付に適した被覆プラスチック粒子
JPH11214365A (ja) * 1998-01-28 1999-08-06 Kyocera Corp 半導体素子製造装置用部材
JPH11312646A (ja) * 1998-04-28 1999-11-09 Tokai Carbon Co Ltd チャンバー内壁保護部材及びプラズマ処理装置
JPH11345780A (ja) * 1998-03-31 1999-12-14 Canon Inc 真空処理装置および真空処理方法、並びに該方法によって作成される電子写真感光体
JP2000109989A (ja) * 1998-10-05 2000-04-18 Tokai Carbon Co Ltd プラズマ処理装置の内壁保護部材
JP2000124137A (ja) * 1998-10-13 2000-04-28 Hitachi Ltd プラズマ処理装置
JP2000129388A (ja) * 1998-10-29 2000-05-09 Kyocera Corp 耐食性部材
JP2000243742A (ja) * 1999-02-24 2000-09-08 Hitachi Chem Co Ltd プラズマ発生装置、そのチャンバー内壁保護部材及びその製造法、チャンバー内壁の保護方法並びにプラズマ処理方法
JP2000246742A (ja) * 1999-03-01 2000-09-12 Toyo Tire & Rubber Co Ltd 発泡体付き樹脂成形品及びその製造方法
JP2000247726A (ja) * 1999-02-23 2000-09-12 Taiheiyo Cement Corp 半導体製造装置用部材
JP2000277486A (ja) * 1999-03-25 2000-10-06 Ngk Insulators Ltd セラミックス製チャンバー構造体
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4419201A (en) * 1981-08-24 1983-12-06 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
JPS6148569A (ja) 1984-08-10 1986-03-10 Mitsubishi Chem Ind Ltd プラズマcvd装置
US4743462A (en) 1986-07-14 1988-05-10 United Technologies Corporation Method for preventing closure of cooling holes in hollow, air cooled turbine engine components during application of a plasma spray coating
GB8905073D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Ion gun
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH0379749A (ja) * 1989-08-21 1991-04-04 Toshiba Corp 耐食性コーティング層の形成方法
US5391275A (en) 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5244730A (en) * 1991-04-30 1993-09-14 International Business Machines Corporation Plasma deposition of fluorocarbon
EP0546802A3 (en) 1991-12-09 1993-07-28 Smith & Nephew Richards Inc. Crystalline prosthesis coating
US5241245A (en) 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5401319A (en) 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JP3069462B2 (ja) * 1993-03-26 2000-07-24 日本碍子株式会社 セラミックコーティング部材とその製造方法
JPH06326175A (ja) 1993-04-22 1994-11-25 Applied Materials Inc 集積回路処理装置において使用されるウエハサポートの誘電材への保護被覆とその形成方法
US5531834A (en) 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
US5486235A (en) 1993-08-09 1996-01-23 Applied Materials, Inc. Plasma dry cleaning of semiconductor processing chambers
KR100264445B1 (ko) 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
GB9321489D0 (en) 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing
TW293983B (ja) 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5474649A (en) 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5716534A (en) * 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
JPH08279490A (ja) * 1995-04-06 1996-10-22 Toshiba Corp プラズマ装置
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
JP3155199B2 (ja) * 1996-04-12 2001-04-09 東京エレクトロン株式会社 プラズマ処理装置
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5916454A (en) 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
JP3019002B2 (ja) * 1996-09-20 2000-03-13 日本電気株式会社 ドライエッチング装置及びドライエッチング方法
US5993594A (en) 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
JP3251215B2 (ja) * 1996-10-02 2002-01-28 松下電器産業株式会社 電子デバイスの製造装置及び電子デバイスの製造方法
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
TW432453B (en) * 1998-11-12 2001-05-01 Applied Materials Inc Apparatus for protecting a substrate support surface and method of fabricating same
US6273958B2 (en) * 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6444083B1 (en) * 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP2001308011A (ja) * 2000-04-18 2001-11-02 Ngk Insulators Ltd 半導体製造装置用チャンバー部材
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP3990299B2 (ja) * 2003-02-20 2007-10-10 住友ゴム工業株式会社 農用車輪のホイール
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63194771A (ja) * 1987-02-04 1988-08-11 Mitsubishi Heavy Ind Ltd 樹脂のコ−テイング方法
JPH05302175A (ja) * 1992-04-24 1993-11-16 Deitsupusoole Kk 防汚シート
JPH0762296A (ja) * 1993-08-31 1995-03-07 Mitsubishi Heavy Ind Ltd ポリイミド樹脂塗料及びそれを用いた塗膜の形成方法
JPH0837180A (ja) * 1994-03-08 1996-02-06 Internatl Business Mach Corp <Ibm> 工程の安定性を得るために温度制御を行うホット・ウォール反応性イオン・エッチング
JPH10512013A (ja) * 1994-12-29 1998-11-17 スプレーテック、インク. 熱的吹付に適した被覆プラスチック粒子
JPH09272965A (ja) * 1996-04-09 1997-10-21 Toshiba Corp 真空成膜装置用部品とそれを用いた真空成膜装置、およびターゲット、バッキングプレート
JPH1032186A (ja) * 1996-07-15 1998-02-03 Matsushita Electron Corp プラズマ処理装置
JPH10229058A (ja) * 1996-11-26 1998-08-25 Applied Materials Inc コーティング付き堆積チャンバ装置
JPH11214365A (ja) * 1998-01-28 1999-08-06 Kyocera Corp 半導体素子製造装置用部材
JPH11345780A (ja) * 1998-03-31 1999-12-14 Canon Inc 真空処理装置および真空処理方法、並びに該方法によって作成される電子写真感光体
JPH11312646A (ja) * 1998-04-28 1999-11-09 Tokai Carbon Co Ltd チャンバー内壁保護部材及びプラズマ処理装置
JP2000109989A (ja) * 1998-10-05 2000-04-18 Tokai Carbon Co Ltd プラズマ処理装置の内壁保護部材
JP2000124137A (ja) * 1998-10-13 2000-04-28 Hitachi Ltd プラズマ処理装置
JP2000129388A (ja) * 1998-10-29 2000-05-09 Kyocera Corp 耐食性部材
JP2000247726A (ja) * 1999-02-23 2000-09-12 Taiheiyo Cement Corp 半導体製造装置用部材
JP2000243742A (ja) * 1999-02-24 2000-09-08 Hitachi Chem Co Ltd プラズマ発生装置、そのチャンバー内壁保護部材及びその製造法、チャンバー内壁の保護方法並びにプラズマ処理方法
JP2000246742A (ja) * 1999-03-01 2000-09-12 Toyo Tire & Rubber Co Ltd 発泡体付き樹脂成形品及びその製造方法
JP2000277486A (ja) * 1999-03-25 2000-10-06 Ngk Insulators Ltd セラミックス製チャンバー構造体
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JPN7009005449, 馬込正勝, JIS使い方シリーズ溶射技術マニュアル, 19981030, 第1版第1刷, 第11,41,42,64−67,77−83頁, 日本規格協会 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020068049A (ja) * 2018-10-19 2020-04-30 サムコ株式会社 誘導結合型プラズマ処理装置の防着板
JP7224621B2 (ja) 2018-10-19 2023-02-20 サムコ株式会社 誘導結合型プラズマ処理装置の防着板

Also Published As

Publication number Publication date
KR100939464B1 (ko) 2010-01-29
JP5593490B2 (ja) 2014-09-24
KR20030066769A (ko) 2003-08-09
US20020086118A1 (en) 2002-07-04
JP2012248886A (ja) 2012-12-13
KR100899965B1 (ko) 2009-05-28
WO2002057506A2 (en) 2002-07-25
KR20090037472A (ko) 2009-04-15
JP2010199596A (ja) 2010-09-09
CN1285758C (zh) 2006-11-22
CN1489641A (zh) 2004-04-14
JP5166591B2 (ja) 2013-03-21
JP5371871B2 (ja) 2013-12-18
IL156644A0 (en) 2004-01-04
US6805952B2 (en) 2004-10-19
JP2012054590A (ja) 2012-03-15
JP4890734B2 (ja) 2012-03-07
WO2002057506A3 (en) 2003-02-13
AU2002245088A1 (en) 2002-07-30
EP1346076A2 (en) 2003-09-24
TW563200B (en) 2003-11-21
US20040224128A1 (en) 2004-11-11
EP1346076B1 (en) 2017-03-22

Similar Documents

Publication Publication Date Title
JP5593490B2 (ja) プラズマエッチング反応器及びその構成部品並びに半導体基板を処理する方法
US6241845B1 (en) Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US7605086B2 (en) Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
JP4860087B2 (ja) エッチング方法
US6464843B1 (en) Contamination controlling method and apparatus for a plasma processing chamber
JP2004525517A (ja) 半導体処理装置内の酸化セリウムを含有するセラミック構成部品及び被膜
JPH0963794A (ja) マイクロ波プラズマ処理装置
JP2001501379A (ja) パーティクル制御方法及びプラズマ処理チャンバー
JP2004513516A (ja) 拡張されたプロセスウィンドウを有する誘電体エッチングチャンバ
JPS63238288A (ja) ドライエツチング方法
JP4554815B2 (ja) 汚染制御方法およびプラズマ処理チャンバ
KR20120046072A (ko) 플라즈마 반응기용 샤워헤드 구조
JPWO2002058125A1 (ja) プラズマ処理装置およびプラズマ処理方法
KR102492044B1 (ko) 정전 척을 구비하는 기판 처리 시스템
JP5064708B2 (ja) プラズマ処理装置
KR20020052674A (ko) 건식식각장치의 포커스 링

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041213

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041213

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080208

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080501

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080512

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080516

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090731

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091029

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100402

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100421

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20100521

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111031

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111215

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4890734

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141222

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term