CN101422088B - 用于减少等离子体处理系统中的副产品沉积的方法和装置 - Google Patents

用于减少等离子体处理系统中的副产品沉积的方法和装置 Download PDF

Info

Publication number
CN101422088B
CN101422088B CN2005800475527A CN200580047552A CN101422088B CN 101422088 B CN101422088 B CN 101422088B CN 2005800475527 A CN2005800475527 A CN 2005800475527A CN 200580047552 A CN200580047552 A CN 200580047552A CN 101422088 B CN101422088 B CN 101422088B
Authority
CN
China
Prior art keywords
plasma
deposition barrier
deposition
processing chamber
barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2005800475527A
Other languages
English (en)
Other versions
CN101422088A (zh
Inventor
什里坎特·P·洛霍卡雷
安德鲁·D·贝利三世
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101422088A publication Critical patent/CN101422088A/zh
Application granted granted Critical
Publication of CN101422088B publication Critical patent/CN101422088B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Abstract

本发明公开了一种在等离子体处理系统中用于减少等离子体处理室的一组等离子体室表面上的副产品沉积的方法。该方法包括:在等离子体处理室中设置沉积屏障,该沉积屏障被配置为置于等离子体处理室的等离子体生成区中,从而使得等离子体在等离子体处理室内被撞击时所生成的至少一些工艺副产品能粘附在该沉积屏障上,并减少了一组等离子体处理室表面上的副产品沉积。

Description

用于减少等离子体处理系统中的副产品沉积的方法和装置
技术领域
本发明总的来说涉及衬底制造技术,具体地,涉及用于减少等离子体处理系统中的副产品沉积(byproduct deposition)的方法和装置。
背景技术
在诸如使用在平板显示器制造中的衬底(例如,半导体片或玻璃面板)的处理中,经常使用等离子体。例如,作为衬底处理的一部分(化学汽相沉积、等离子体增强型化学汽相沉积、物理汽相沉积等),将衬底分成多个管芯(die)或矩形区域,每个管芯或矩形区域都将成为集成电路。接着,通过一系列步骤对衬底进行处理,其中,选择性地去除(蚀刻)并沉淀(沉积)材料以在其上形成电子元件。
许多的等离子体工艺包括一些类型的等离子体轰击。例如,通常称为溅射的纯离子蚀刻用于从衬底移除材料(例如,氧化物等)。通常,诸如氩的惰性气体在等离子体中被电离,然后朝向带负电的衬底加速。同样,也被称为离子增强型蚀刻的反应离子蚀刻(RIE)结合了化学和离子工艺,以便从衬底去除材料(例如,光刻胶、BARC、TiN、氧化物等)。通常,等离子体中的离子通过撞击衬底表面来增强化学工艺,随后使表面上的原子的化学键断裂,以使它们更易于与化学工艺的分子发生反应。
然而,等离子体处理系统还会产生污染物。通过等离子体工艺,由蚀刻剂气体中的材料(例如,碳、氟、氢、氮、氧、氩、氙、硅、硼、氯等)、由衬底中的材料(例如,光刻胶、硅、氧、氮、铝、钛等)、或由等离子体处理室自身内的结构材料(例如,铝、石英等)生成通常包含有机和无机副产品的污染物。
一些污染物是挥发性的,并且可通过真空系统充分抽走,而其他污染物形成易于沉积在内表面和等离子体室壁上的不易挥发或低挥发性的溅射物,难以从等离子体室中有效地去除这些溅射物。所生成的污染物沉积最终会剥落并因此增大衬底缺陷的敏感性,减小了平均清洁间隔时间(MTBC),降低了产量等。例如,根据等离子体工艺,导电膜沉积可形成在等离子体室的内表面上,这会影响等离子体源与偏压的FW耦合。另外,副产品沉积会使等离子体密度偏离。
不易挥发和低挥发性的副产品包括溅射材料的直接视线沉积、直接离子增强蚀刻副产品沉积、挥发性副产品聚合、高粘着系数的等离子体离解副产品、等离子体物的离子辅助沉积等。实例包括高k电介质(HfOx、HfSixOy等)副产品、金属电极(Pt、Ir、IrOx等)副产品、存储材料副产品(PtMn、NiFe、CoFe、FeW等)、内连副产品(Cu、Ru、CoWP、Ta等)。
通常,溅射原子的发射轮廓主要以余弦分布为特征。这意味着在除法线(垂线)之外的某些角度处的发射率等于法线入射发射率乘其与法线所成角度的余弦。通常将此画成接触击打点的圆,其中,这个圆是其他角度处的发射幅度的包络。通常,由于溅射原子易于是中性的,所以不能在飞行中引导它们的轨道,因此,溅射原子易于直线传播。
粘附在室内表面的沉积程度以及因此随后产生的潜在污染程度通常取决于特定的等离子体处理配方(recipe)(例如,化学性质、功率、和温度)以及室处理工具的原始表面条件。由于完全去除沉积物可能很耗费时间,所以通常仅当粒子污染等级达到不能接受的等级时、当必须打开等离子体处理系统以替换消耗品结构(例如,边缘环(edge ring)等)或作为预定的预防性检修(PM)的一部时分,才会充分清洁等离子体处理系统室。
现在,参考图1,示出了感应耦合等离子体处理系统(例如,Lam Research Transformer Coupled Plasma Processing SystemTM)的简图。在普通的配置中,等离子体室包括位于室下部的下部块(bottom piece)150和位于室上部的可拆卸的上部块152。通常,一组适当的气体从气体分配系统122通过介电耦合窗(dielectriccoupling window)104流入室102中。这些等离子体处理气体随后可在喷射器109处被电离以在等离子体生成区中形成等离子体110,以便处理(例如,蚀刻或沉积)用边缘环115定位在静电卡盘116上的衬底114(诸如,半导体衬底或玻璃平板)的暴露区域。
第一RF发生器134生成等离子体并控制等离子体密度,而第二RF发生器138生成通常用于控制DC偏压和离子轰击能量的偏压RF。另外,匹配网络136a连接至源RF发生器134,以及匹配网络136b连接至偏压RF发生器138,这两个匹配网络试图使RF电源的阻抗与等离子体110的阻抗相匹配。另外,泵111通常用于从等离子室102中抽空环境大气,以达到维持等离子体110的所需压力。
虽然存在解决需要的复杂高温室设计、特殊材料等重要问题,但是这些不同材料的特性并不存在任何共同性。例如,如果等离子体工艺条件允许,则可以开发清洁或自清洁等离子体配方,或者可以使用对难以处理的副产品具有减小的粘着系数的材料来设计室表面,或者如果副产品完全粘附或“粘着”在室表面上,则等离子体工艺可以在剥落成为问题之前一直进行。然而,由于这些解决方法对工艺来说都是非常敏感的,所以能够处理这些材料中的大部分和潜在化学性质中的单个耐用反应器设计以及工艺方法的可能性成为问题。
鉴于上述问题,期望用于减少等离子体处理系统中的副产品沉积的方法和装置。
发明内容
在一个实施例中,本发明涉及一种在等离子体处理系统中用于减少在等离子体处理室的一组等离子体室表面上的副产品沉积的方法。该方法包括:在等离子体处理室中设置沉积屏障(depositionbarrier),该沉积屏障被配置为置于等离子体处理室的等离子体生成区中,从而使得在等离子体在等离子体处理室内被撞击时所产生的至少一些工艺副产品粘附在该沉积屏障上,以及减少了在该等离子体处理室表面组上的副产品沉积。
在另一个实施例中,本发明涉及一种用于减少等离子体反应器中的一组等离子体室表面上的一组副产品沉积的方法。该方法包括将衬底定位在等离子体处理室中。该方法还包括定沉积屏障定位在等离子体处理室中,其中,第一等离子体被配置为当被撞击时围绕沉积屏障,从而,沉积屏障被配置为接触来自衬底的副产品沉积组的第一子组。该方法还包括在等离子体处理室中重新定位沉积屏障,其中,第二等离子体被配置为当被撞击时围绕该沉积屏障,从而,沉积屏障被配置为接触来自衬底的副产品沉积组的第二子组。
在另一个实施例中,本发明涉及一种在等离子体处理系统中用于减少在等离子体处理室的一组等离子体室表面上的副产品沉积的装置。该装置包括设置在等离子体处理室中的屏障装置,屏障装置被配置为设置在等离子体处理室的等离子体生成区中,从而使得当等离子体在等离子体处理室内被撞击时所产生的至少一些工艺副产品粘附在沉积屏障,并且减少了在等离子体处理室表面组上的副产品沉积。该装置还包括附加(attachment)装置,用于将屏障装置附加至等离子体处理室内部的顶部、底部、和侧面中的一个。
在另一个实施例中,本发明涉及一种被配置为减少在等离子体处理室的一组等离子体室表面上的副产品沉积的沉积屏障装置。该装置包括被配置为设置在等离子体处理室的等离子体生成区中的沉积屏障,该沉积屏障被配置为能够使当等离子体在等离子体处理室内被撞击时所产生的至少一些工艺副产品粘附至沉积屏障,从而减少了等离子体处理室表面组上的副产品沉积。
通过本发明的详细描述以及结合随后的附图,下面将更详细地描述本发明的这些和其它特征。
附图说明
参考实例示出本发明,而并未限制本发明,在附图中,相同的标号表示相同的元件,其中:
图1示出了诸如Lam Transformer Coupled Plasma ProcessingSystem(朗姆变压器耦合等离子体处理系统)的感应耦合等离子体处理系统的简图;
图2示出了根据本发明一个实施例的具有沉积屏障的感应耦合等离子体处理系统的简图;
图3示出了根据本发明一个实施例的通过附接至等离子体室的底部的结构支撑沉积屏障的感应耦合等离子体处理系统的简图;
图4示出了根据本发明一个实施例的通过附接至等离子体室的顶部的结构支撑沉积屏障的感应耦合等离子体处理系统的简图;
图5示出了根据本发明一个实施例的通过附接至等离子体室的侧面的结构支撑沉积屏障的感应耦合等离子体处理系统的简图;
图6示出了根据本发明一个实施例的通过附接至卡盘的结构支撑沉积屏障的感应耦合等离子体处理系统的简图;以及
图7示出了根据本发明一个实施例的用于减少等离子体处理系统中的低挥发性视线副产品的方法的简图。
具体实施方式
现在,将参考附图中所示的本发明的几个优选实施例详细描述本发明。在以下的描述中,为了提供对本发明的透彻理解,将阐述多个具体细节。然而,本领域的技术人员应该明白,没有这些具体细节的一些或全部也可实施本发明。在其它情况下,为了避免对本发明造成不必要的混淆,没有详细描述众所周知的工艺步骤和/或结构。
尽管不希望被理论所束缚,但发明人相信,可以通过使用基本上被等离子体围绕的沉积屏障来在一组等离子体室表面上减少溅射沉积。即,可以放置沉积屏障,使得如果粒子从衬底朝向等离子体室的表面溅射,则粒子首先撞击沉积屏障。
以不明显的方式,可以使用很容易去除的沉积屏障来截取通常与室中的其他表面以及包括涡轮泵的泵的管汇相撞的溅射原子。例如,对于移位(ex-situ)清洁工艺,沉积屏障可以轻松地移到等离子体室中以及从等离子体室中移出。在一个实施例中,沉积屏障还可以在无晶自动清洁WACTM(或无晶室调节)期间保护静电卡盘,其中,等离子体室部件被暴露给等离子体作为清洁或调节/调和工艺的一部分。
现在,参考图2,示出了根据本发明一个实施例的感应耦合等离子体处理系统的简图。在普通配置中,等离子体室包括位于室下部的下部块250和位于室上部的可拆卸的上部块252。通常,一组适当的气体从气体分配系统222通过介电耦合窗204流入室202中。接着,这些等离子体处理气体随后可在喷射器209处被电离以形成等离子体210,以便处理(例如,蚀刻或沉积)用边缘环215定位在静电卡盘216上的衬底214(诸如,半导体衬底或玻璃平板)的暴露区域。
第一RF发生器234生成等离子体并控制等离子体密度,而第二RF发生器238生成通常用于控制DC偏压和离子轰击能量的偏压RF。另外,匹配网络236a连接至源RF发生器234,以及匹配网络236b连接至偏压RF发生器238,这两个匹配网络试图使RF电源的阻抗与等离子体210的阻抗相匹配。另外,泵211通常用于从等离子室202中抽空环境大气,以达到维持等离子体210的所需压力。
此外,在等离子体反应器的底部之上的某个高度处定位沉积屏障206,使得如果粒子从衬底朝向等离子体室室壁溅射粒子,则粒子将首先撞击沉积屏障。
现在,参考图3,示出了根据本发明一个实施例的图2的感应耦合等离子体处理系统的简图,其中,通过附接至等离子体室底部(下内表面)的结构308支撑沉积屏障。
现在,参考图4,示出了根据本发明一个实施例的图2的感应耦合等离子体处理系统的简图,其中,通过附接至等离子体室的顶部(上内表面)的结构408支撑沉积屏障。
现在,参考图5,示出了根据本发明一个实施例的图2的感应耦合等离子体处理系统的简图,其中,通过附接至等离子体室的侧面(侧内表面)的结构508支撑沉积屏障。
现在,参考图6,示出了根据本发明一个实施例的图2的感应耦合等离子体处理系统的简图,其中,通过附接至卡盘216的结构608支撑沉积屏障。
在一个实施例中,可以相对于等离子体反应器的底面重新定位沉积屏障的底面高度,以更好地优化等离子体处理条件。在另一实施例中,沉积屏障对所生成的RF基本上是透明的。在又一实施例中,沉积屏障包括充分耐等离子体攻击的材料(即,石英、Y2O3、钇、CeO2、铈、ZrO2、锆、Teflon、Vespel、充分纯的塑料、陶瓷、SiC、BN、BC、SiN、SiO等)。在又一实施例中,沉积屏障包括当暴露给该离子体时生成一组挥发性蚀刻产物的材料。
在另一实施例中,与等离子体无关地加热沉积屏障。在又一实施例中,将RF偏压施加给沉积屏障。在又一实施例中,例如可通过机械手原位(in-situ)去除沉积屏障。在另一实施例中,可在自动控制下通过真空机器人从等离子体处理系统中去除沉积屏障。
在又一实施例中,沉积屏障包括充分连续的表面。在又一实施例中,沉积屏障包括一组孔。在又一实施例中,可在从等离子体室中去除衬底之前、在从等离子体室中去除衬底的同时、或从等离子体室中去除衬底之后去除沉积屏障。在又一实施例中,沉积屏障是法拉第(Faraday)屏障。
在另一实施例中,可以清洁和重新使用沉积屏障。在又一实施例中,等离子体处理系统包括从等离子体室的顶部、侧面、或底部连接的源RF。在又一实施例中,可与等离子体无关地加热和/或冷却一组等离子体室的室壁。
在另一实施例中,可以加热沉积屏障,以促进较厚膜的粘附以及防止可能导致过早剥落的挥发物的掺入。在又一实施例中,可以冷却沉积屏障,以增大产品的非常易挥发沉积物的粘附概率并使在剥落之前膜能够更厚。在又一实施例中,当沉积屏障从热变冷时,可通过等离子体清洁工艺原位清洁沉积屏障。在又一实施例中,当沉积屏障从冷变热时,通过等离子体清洁工艺原位清洁沉积屏障。
在另一实施例中,沉积屏障包括在其暴露给等离子体时基本上不会生成一组挥发性蚀刻产物的金属(例如,Ni、Pt、Ir、阳极氧化Al、Cu等)。
在另一实施例中,可通过等离子体清洁工艺原位清洁沉积屏障。在又一实施例中,可通过清洗化学冲洗工艺原位清洁沉积屏障。在又一实施例中,沉积屏障包括充分耐湿式清洁工艺的材料。在又一实施例中,用充分耐湿式清洁工艺的材料来涂覆沉积屏障。在另一实施例中,在进行原位等离子体室清洁时,可将沉积屏障降低到卡盘上以保护卡盘。在另一实施例中,在室在衬底处理循环之间空闲时,可将沉积屏障降低到卡盘上以保护卡盘。
在另一实施例中,沉积屏障可以保护源RF。在又一实施例中,源RF包括电感源。在又一实施例中,源RF包括电容源。在又一实施例中,源RF包括ECR(电子回旋共振)源。在又一实施例中,源RF包括微波源。在又一实施例中,源RF可与等离子体室的顶部连接。在又一实施例中,源RF可与等离子体室的侧面连接。在又一实施例中,源RF可以与等离子体室的底部连接。
在另一实施例中,沉积屏障可以保护一组等离子体气体喷射器不受侵蚀和沉积堵塞。在另一实施例中,沉积屏障可以保护一组原位计量传感器(例如,光发射、干涉测量等)或将它们覆盖以不受侵蚀和沉积堵塞的透明窗。在又一实施例中,沉积屏障表面具有有利于控制沉积材料的粘附力的预定粗糙度。在另一实施例中,沉积屏障表面具有有利于控制沉积材料的粘附力的预定表面合成物。
现在,参考图7,示出了根据本发明一个实施例的用于减少等离子体处理系统中的低挥发性产品的简化方法。首先,在步骤702中,在等离子体处理室中定位衬底。接下来,在步骤704中,在等离子体处理室中定位沉积屏障,其中,等离子体可被撞击以充分围绕沉积屏障。然后,在步骤706中,在等离子体处理室内撞击等离子体。在步骤708中,如果粒子从衬底朝向等离子体室表面组中的一个等离子体室表面溅射,则粒子将撞击沉积屏障。
根据几个优选实施例描述了本发明,存在落入本发明范围内的变化、改变、和等同替换。例如,尽管结合Lam Research TransformerCoupled Plasma Processing SystemTM描述了本发明,但是也可使用其它等离子体处理系统(例如,蚀刻、沉积、离子溅射、电子束、簇离子束)。还应该注意,存在许多实施本发明方法的可选方式。
本发明的优点包括用于减少等离子体处理系统中的副产品沉积的方法和装置。其他优点包括充分改善了生产率和设备产量,普通等离子体室设计的设计涉及多种等离子体处理应用(即,FeRAM、MRAM、Cu、MEMS、金属门高k门等)、工艺可重复性、低CoC、低COO、高MTBC、低MTTCR、以及等离子体室部件的延长寿命。
尽管已经公开了示例性实施例和最佳模式,但可对所公开的实施例做出的更改和改变,仍然保持在由所附权利要求限定的本发明的主题和精神的范围内。

Claims (46)

1.一种在用于处理至少一个衬底的等离子体处理系统中用于减少等离子体处理室的一组等离子体室表面上的副产物沉积的方法,该方法包括:
在所述等离子体处理室中提供沉积屏障,所述沉积屏障配置为设在所述等离子体处理室的等离子体生成区中,从而使得在所述等离子体处理室内激发等离子体时所产生的至少一些工艺副产物粘附于所述沉积屏障,并减少该组等离子体室表面上的所述副产物沉积;
在所述沉积屏障中包括充分耐受等离子体攻击的材料;
以及,
在所述沉积屏障中包括用于生成一组挥发性蚀刻产物的材料,在所述沉积屏障暴露于所述等离子体时生成该组挥发性蚀刻产物。
2.根据权利要求1所述的方法,还包括:
利用一结构支撑所述沉积屏障;以及
将所述结构连接于所述等离子体处理室的底部,
其中所述沉积屏障对所生成的RF基本上是透明的。
3.根据权利要求1所述的方法,还包括:
利用一结构支撑所述沉积屏障;以及
将所述结构连接于所述等离子体处理室的上部内表面,
其中所述沉积屏障是法拉第屏障。 
4.根据权利要求1所述的方法,还包括:
利用一结构支撑所述沉积屏障;以及
将所述结构连接于所述等离子体处理室的侧内表面。
5.根据权利要求1所述的方法,还包括:
利用一结构支撑所述沉积屏障;以及
将所述结构连接于卡盘,所述卡盘设在所述等离子体处理室内部并且配置为支撑所述衬底,
其中所述沉积屏障涂有所述充分耐受等离子体攻击的材料。
6.根据权利要求1所述的方法,其中所述沉积屏障包括聚四氟乙烯、BN、BC、SiN、氧化硅和SiC中的至少一个。
7.根据权利要求1所述的方法,其中所述沉积屏障包括塑料。
8.根据权利要求1所述的方法,还包括:
将所述沉积屏障下降到卡盘上用以保护所述卡盘,所述卡盘设在所述等离子体处理室内部用以在处理所述衬底期间支撑所述衬底;以及
当所述卡盘被所述沉积屏障保护时清洁所述等离子体处理室。
9.根据权利要求1所述的方法,还包括单独加热所述沉积屏障。
10.根据权利要求1所述的方法,还包括将RF偏压施加于所述沉积屏障。 
11.根据权利要求1所述的方法,其中所述沉积屏障包括当暴露于所述等离子体时不会生成大量挥发性蚀刻副产物的金属。
12.根据权利要求11所述的方法,还包括同时从所述等离子体处理室去除所述衬底和所述沉积屏障两者。
13.根据权利要求1所述的方法,还包括使用等离子体清洁工艺执行所述沉积的原位清洁。
14.根据权利要求1所述的方法,还包括使用湿式化学清洗工艺执行所述沉积屏障的移位清洁。
15.根据权利要求14所述的方法,其中,所述沉积屏障包括基本耐湿式清洁工艺的材料。
16.根据权利要求14所述的方法,其中,所述沉积屏障涂有基本耐湿式清洁工艺的材料。
17.根据权利要求1所述的方法,其中,在基本上维持该等离子体室中真空的同时去除所述沉积屏障。
18.根据权利要求17所述的方法,其中,在自动控制下通过真空机器人实现所述去除。
19.根据权利要求1所述的方法,其中,原位替换所述沉积屏障。
20.根据权利要求1所述的方法,其中,所述沉积屏障包括基本上连续的表面。
21.根据权利要求1所述的方法,其中,所述沉积屏障包括一组孔。 
22.根据权利要求1所述的方法,其中,所述沉积屏障配置为在从所述等离子体处理室中去除所述衬底之前被去除。
23.根据权利要求1所述的方法,其中,所述沉积屏障配置为在从所述等离子体处理室中去除所述衬底之后被去除。
24.根据权利要求1所述的方法,其中,所述沉积屏障配置为与从所述等离子体处理室中去除所述衬底同时被去除。
25.根据权利要求1所述的方法,其中,所述沉积屏障在清洁之后可以重复使用。
26.根据权利要求1所述的方法,其中,所述沉积屏障保护RF发生器源。
27.根据权利要求26所述的方法,其中,所述RF发生器源包括电感源。
28.根据权利要求26所述的方法,其中,所述RF发生器源配置为保护所述电感源的介电耦合窗。
29.根据权利要求26所述的方法,其中,所述RF发生器源包括电容源。
30.根据权利要求26所述的方法,其中,所述RF发生器源包括电感源和电容源。
31.根据权利要求26所述的方法,其中,所述RF发生器源包括ECR源。 
32.根据权利要求26所述的方法,其中,所述RF发生器源包括微波源。
33.根据权利要求1所述的方法,其中,所述等离子体处理系统包括从所述等离子体处理室的顶部连接的RF发生器源。
34.根据权利要求1所述的方法,其中,所述等离子体处理系统包括从所述等离子体处理室的侧面连接的RF发生器源。
35.根据权利要求1所述的方法,其中,所述等离子体处理系统包括从所述等离子体处理室的底部连接的RF发生器源。
36.根据权利要求1所述的方法,其中,该组等离子体室表面配置为被单独加热。
37.根据权利要求1所述的方法,其中,该组等离子体室表面配置为被单独冷却。
38.根据权利要求1所述的方法,还包括:
单独加热所述沉积屏障;
利用一结构支撑所述沉积屏障;以及
将所述结构连接于卡盘,所述卡盘设在所述等离子体处理室内并配置为支撑所述衬底。
39.根据权利要求1所述的方法,还包括单独冷却所述沉积屏障。
40.根据权利要求1所述的方法,还包括:
停止衬底在所述等离子体处理室中的处理至少直到所述沉积屏障被冷却到预定温度阀值;以及 
在所述沉积屏障至少被冷却到所述预定温度阀值之后,原位清洁所述沉积屏障。
41.根据权利要求1所述的方法,其中,当加热所述沉积屏障时,通过等离子体清洁工艺原位清洁所述沉积屏障。
42.根据权利要求1所述的方法,其中,所述沉积屏障配置为保护一组气体喷射器免受所述副产物沉积的影响。
43.根据权利要求1所述的方法,其中,所述沉积屏障表面具有有利于控制所述副产物沉积的粘附力的预定粗糙度。
44.根据权利要求1所述的方法,其中,所述沉积屏障表面具有有利于控制所述副产物沉积的粘附力的预定表面成分。
45.一种用于减少等离子体反应器中的一组等离子体室表面上的一组副产品沉积的方法,该方法包括:
在等离子体处理室中定位衬底;
在所述等离子体处理室中定位沉积屏障,其中一等离子体配置为被激发时围绕所述沉积屏障,从而,所述沉积屏障配置为与来自所述衬底的所述一组副产品沉积的第一子组接触;
在所述等离子体处理室中重新定位所述沉积屏障,其中,另一等离子体配置为当被激发时围绕所述沉积屏障,从而,所述沉积屏障配置为与来自所述衬底的所述一组副产品沉积的第二子组接触;以及
在所述沉积屏障中包括生成一组挥发性蚀刻产物的材料,当将所述沉积屏障暴露于所述等离子体时生成该组挥发性蚀刻产物。 
46.一种配置为减少等离子体处理室的一组等离子体室表面上的副产品沉积的沉积屏障装置,该沉积屏障装置包括:
沉积屏障,配置为设置在所述等离子体处理室的等离子体生成区中,所述沉积屏障配置为使得在所述等离子体处理室内激发等离子时所产生的至少一些工艺副产品能够粘附在所述沉积屏障上,从而减少了该组等离子体处理室表面上的所述副产品沉积,
其中所述沉积屏障包括在所述沉积屏障暴露于所述等离子体时生成一组挥发性蚀刻产物的材料。 
CN2005800475527A 2004-12-22 2005-12-16 用于减少等离子体处理系统中的副产品沉积的方法和装置 Active CN101422088B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/022,982 2004-12-22
US11/022,982 US7959984B2 (en) 2004-12-22 2004-12-22 Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
PCT/US2005/045729 WO2006081004A2 (en) 2004-12-22 2005-12-16 Methods and arrangement for the reduction of byproduct deposition in a plasma processing system

Publications (2)

Publication Number Publication Date
CN101422088A CN101422088A (zh) 2009-04-29
CN101422088B true CN101422088B (zh) 2012-02-01

Family

ID=36594127

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800475527A Active CN101422088B (zh) 2004-12-22 2005-12-16 用于减少等离子体处理系统中的副产品沉积的方法和装置

Country Status (6)

Country Link
US (1) US7959984B2 (zh)
JP (2) JP2008526026A (zh)
KR (1) KR101209534B1 (zh)
CN (1) CN101422088B (zh)
TW (1) TWI425882B (zh)
WO (1) WO2006081004A2 (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060218680A1 (en) * 2005-03-28 2006-09-28 Bailey Andrew D Iii Apparatus for servicing a plasma processing system with a robot
US7319316B2 (en) 2005-06-29 2008-01-15 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
US7732009B2 (en) * 2006-09-26 2010-06-08 United Microelectronics Corp. Method of cleaning reaction chamber, method of forming protection film and protection wafer
WO2008049124A2 (en) * 2006-10-19 2008-04-24 Boise State University Magnetomechanical transducer, and apparatus and methods of harvesting energy
DE102007037527B4 (de) * 2006-11-10 2013-05-08 Schott Ag Verfahren zum Beschichten von Gegenständen mit Wechselschichten
WO2008061166A2 (en) * 2006-11-14 2008-05-22 Boise State University Multi-state memory and multi-functional devices comprising magnetoplastic or magnetoelastic materials
US8586194B2 (en) * 2007-08-30 2013-11-19 Boise State University Polycrystalline foams exhibiting giant magnetic-field-induced deformation and methods of making and using same
US7964290B2 (en) * 2007-08-30 2011-06-21 Boise State University Magnetic material with large magnetic-field-induced deformation
US20110297088A1 (en) * 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
US9293353B2 (en) 2011-04-28 2016-03-22 Lam Research Corporation Faraday shield having plasma density decoupling structure between TCP coil zones
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
FR2995454B1 (fr) * 2012-09-07 2014-08-22 Commissariat Energie Atomique Procede pour la realisation d'un electrolyte a base de lithium pour micro-batterie solide
US9029267B2 (en) 2013-05-16 2015-05-12 Lam Research Corporation Controlling temperature of a faraday shield
CN104302084B (zh) * 2013-07-17 2017-04-12 朗姆研究公司 空气冷却的法拉第屏蔽罩和使用该屏蔽罩的方法
US9885493B2 (en) 2013-07-17 2018-02-06 Lam Research Corporation Air cooled faraday shield and methods for using the same
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN104485272A (zh) * 2014-12-19 2015-04-01 中国科学院嘉兴微电子仪器与设备工程中心 一种应用于等离子体设备的腔室结构及等离子体设备
AU2017321696B2 (en) * 2016-08-31 2023-04-13 One Scientific, Inc. Systems, apparatuses, and methods for generating electric power via conversion of water to hydrogen and oxygen
US9773665B1 (en) * 2016-12-06 2017-09-26 Applied Materials, Inc. Particle reduction in a physical vapor deposition chamber
CN113113280B (zh) * 2020-01-09 2022-06-10 江苏鲁汶仪器有限公司 等离子体处理系统及其开合法拉第组件
CN114703464B (zh) * 2022-06-06 2022-08-23 拓荆科技(北京)有限公司 一种膜层生长设备及方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1265222A (zh) * 1997-04-21 2000-08-30 东京电子亚利桑那公司 离子化溅射材料的方法和设备
US6380612B1 (en) * 1996-03-18 2002-04-30 Hyundai Display Technology, Inc. Thin film formed by inductively coupled plasma

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE440201B (sv) 1983-12-01 1985-07-22 Asea Ab Verktygshallare for industrirobotar
US4594111A (en) 1984-10-04 1986-06-10 Coonan Edmund C Liquid phase cleaner-solvent
JPS61172335A (ja) * 1985-01-28 1986-08-04 Mitsubishi Electric Corp プラズマ装置
JPS6358834A (ja) 1986-08-27 1988-03-14 インタ−ナショナル・ビジネス・マシ−ンズ・コ−ポレ−ション スパッタリング装置
JP2555062B2 (ja) * 1987-04-10 1996-11-20 株式会社日立製作所 プラズマ処理装置
JPH01165120A (ja) * 1987-12-22 1989-06-29 Mitsubishi Electric Corp エツチング装置
JP2926711B2 (ja) * 1988-05-13 1999-07-28 松下電器産業株式会社 ドライエッチング装置
JPH0355832A (ja) * 1989-07-25 1991-03-11 Toshiba Corp 半導体製造装置
JPH03145124A (ja) * 1989-10-31 1991-06-20 Fujitsu Ltd ドライエッチング装置
US5391275A (en) * 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
JP2949874B2 (ja) * 1990-11-21 1999-09-20 富士電機株式会社 Ecrプラズマcvd装置ドライクリーニングの方法
JPH081923B2 (ja) 1991-06-24 1996-01-10 ティーディーケイ株式会社 クリーン搬送方法及び装置
JP2745895B2 (ja) * 1991-10-04 1998-04-28 住友金属工業株式会社 プラズマ装置
JPH06272027A (ja) 1993-03-17 1994-09-27 Fuji Photo Film Co Ltd 真空蒸着槽の自動洗浄方法及び装置
US5705080A (en) * 1994-07-06 1998-01-06 Applied Materials, Inc. Plasma-inert cover and plasma cleaning process
TW327236B (en) * 1996-03-12 1998-02-21 Varian Associates Inductively coupled plasma reactor with faraday-sputter shield
US6135697A (en) 1997-01-30 2000-10-24 Siemens Electrocom, L.P. Transfer of cartridges containing flat articles
US6139697A (en) * 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
JP3423186B2 (ja) * 1997-04-09 2003-07-07 東京エレクトロン株式会社 処理方法
JP3468446B2 (ja) * 1997-05-20 2003-11-17 東京エレクトロン株式会社 プラズマ処理装置
US6521081B2 (en) * 1997-12-05 2003-02-18 Tegal Corporation Deposition shield for a plasma reactor
US6120660A (en) 1998-02-11 2000-09-19 Silicon Genesis Corporation Removable liner design for plasma immersion ion implantation
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
JP2002525866A (ja) * 1998-09-22 2002-08-13 アプライド マテリアルズ インコーポレイテッド 内部誘導コイルアンテナ及び導電性チャンバ壁を有するrfプラズマエッチング反応器
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
JP2000200754A (ja) * 1999-01-06 2000-07-18 Matsushita Electric Ind Co Ltd 真空処理方法と真空処理装置
KR100542459B1 (ko) * 1999-03-09 2006-01-12 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 플라즈마처리방법
WO2001001467A1 (fr) * 1999-06-29 2001-01-04 Tohoku Techno Arch Co., Ltd. Procede et appareil de traitement de la poussiere de particules fines indesirables dans un plasma
US6306008B1 (en) 1999-08-31 2001-10-23 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization
JP2001246331A (ja) 2000-03-08 2001-09-11 Sharp Corp 洗浄装置
JP2004513516A (ja) * 2000-11-01 2004-04-30 アプライド マテリアルズ インコーポレイテッド 拡張されたプロセスウィンドウを有する誘電体エッチングチャンバ
US20020100557A1 (en) * 2001-01-29 2002-08-01 Applied Materials, Inc. ICP window heater integrated with faraday shield or floating electrode between the source power coil and the ICP window
DE10110373C2 (de) 2001-03-03 2003-03-06 Wolfgang Daum Verfahren und Vorrichtung zur Reinigung von Innenräumen von Automobilen
US7451941B2 (en) 2001-03-13 2008-11-18 Jackson David P Dense fluid spray cleaning process and apparatus
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
JP2002313732A (ja) * 2001-04-11 2002-10-25 Seiko Epson Corp プラズマ装置
KR100420171B1 (ko) 2001-08-07 2004-03-02 삼성광주전자 주식회사 로봇 청소기와 그 시스템 및 제어방법
US20040194890A1 (en) 2001-09-28 2004-10-07 Tokyo Electron Limited Hybrid plasma processing apparatus
US6537919B1 (en) * 2001-12-19 2003-03-25 Taiwan Semiconductor Manufacturing Company Process to remove micro-scratches
JP3983557B2 (ja) * 2002-01-29 2007-09-26 富士通株式会社 誘導結合型プラズマ処理装置
TW527647B (en) * 2002-02-06 2003-04-11 Jusung Eng Co Ltd Thin film deposition method
JP4220173B2 (ja) 2002-03-26 2009-02-04 株式会社日立ハイテクノロジーズ 基板の搬送方法
JP4054208B2 (ja) 2002-04-01 2008-02-27 富士通株式会社 コンタクタの製造方法
JP2003311555A (ja) 2002-04-25 2003-11-05 Okuma Corp ネジ締め装置
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
JP3971364B2 (ja) 2002-11-01 2007-09-05 三星電子株式会社 食器洗い機
US7434601B2 (en) 2002-12-23 2008-10-14 Catalyst Services, Inc. Cleaning and/or inspecting robot for hazardous environments including catalyst removal
JP2004228102A (ja) * 2003-01-09 2004-08-12 Hitachi Kokusai Electric Inc プラズマ処理装置
JP3935850B2 (ja) * 2003-01-31 2007-06-27 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4363863B2 (ja) * 2003-02-06 2009-11-11 株式会社日立ハイテクノロジーズ 半導体処理装置における処理制御方法
JP2005039015A (ja) * 2003-07-18 2005-02-10 Hitachi High-Technologies Corp プラズマ処理方法および装置
US7241397B2 (en) * 2004-03-30 2007-07-10 Tokyo Electron Limited Honeycomb optical window deposition shield and method for a plasma processing system
US20050241669A1 (en) * 2004-04-29 2005-11-03 Tokyo Electron Limited Method and system of dry cleaning a processing chamber
JP2004274076A (ja) * 2004-05-13 2004-09-30 Matsushita Electric Ind Co Ltd 半導体装置の製造方法及びその製造装置
US7578945B2 (en) * 2004-09-27 2009-08-25 Lam Research Corporation Method and apparatus for tuning a set of plasma processing steps
US20060218680A1 (en) 2005-03-28 2006-09-28 Bailey Andrew D Iii Apparatus for servicing a plasma processing system with a robot

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6380612B1 (en) * 1996-03-18 2002-04-30 Hyundai Display Technology, Inc. Thin film formed by inductively coupled plasma
CN1265222A (zh) * 1997-04-21 2000-08-30 东京电子亚利桑那公司 离子化溅射材料的方法和设备

Also Published As

Publication number Publication date
JP2013102221A (ja) 2013-05-23
CN101422088A (zh) 2009-04-29
KR20070086605A (ko) 2007-08-27
WO2006081004A2 (en) 2006-08-03
WO2006081004B1 (en) 2008-10-30
US20060130758A1 (en) 2006-06-22
US7959984B2 (en) 2011-06-14
JP2008526026A (ja) 2008-07-17
TWI425882B (zh) 2014-02-01
TW200635446A (en) 2006-10-01
JP5726928B2 (ja) 2015-06-03
KR101209534B1 (ko) 2012-12-07
WO2006081004A3 (en) 2008-08-14

Similar Documents

Publication Publication Date Title
CN101422088B (zh) 用于减少等离子体处理系统中的副产品沉积的方法和装置
JP4331479B2 (ja) 半導体処理装置における高靭性ジルコニアセラミック構成要素とコーティングおよびその製造方法
JP2008526026A5 (zh)
US6798519B2 (en) Method and apparatus for an improved optical window deposition shield in a plasma processing system
KR101903831B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP4532479B2 (ja) 処理部材のためのバリア層およびそれと同じものを形成する方法。
KR100882758B1 (ko) 반도체 공정 설비내의 세륨 옥사이드 함유 세라믹 부품 및 코팅
US20090277874A1 (en) Method and apparatus for removing polymer from a substrate
US7025855B2 (en) Insulation-film etching system
US20090302002A1 (en) Method and apparatus for removing polymer from a substrate
US20040061447A1 (en) Method and apparatus for an improved upper electrode plate in a plasma processing system
US20040060657A1 (en) Method and apparatus for an improved deposition shield in a plasma processing system
CN1097491C (zh) 一种等离子体处理系统及清洁等离子体处理系统的方法
WO2006036753A2 (en) Methods and apparatus for tuning a set of plasma processing steps
KR200475462Y1 (ko) 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
WO2009111344A2 (en) Method and apparatus for removing polymer from a substrate
KR20060031136A (ko) 진공 플라즈마 챔버용 열용사 코팅막 및 그 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant