JP4532479B2 - 処理部材のためのバリア層およびそれと同じものを形成する方法。 - Google Patents

処理部材のためのバリア層およびそれと同じものを形成する方法。 Download PDF

Info

Publication number
JP4532479B2
JP4532479B2 JP2006508915A JP2006508915A JP4532479B2 JP 4532479 B2 JP4532479 B2 JP 4532479B2 JP 2006508915 A JP2006508915 A JP 2006508915A JP 2006508915 A JP2006508915 A JP 2006508915A JP 4532479 B2 JP4532479 B2 JP 4532479B2
Authority
JP
Japan
Prior art keywords
layer
protective
plasma
protective barrier
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006508915A
Other languages
English (en)
Other versions
JP2007524993A (ja
Inventor
エッシャー、ゲイリー
アーレン、マーク・エー.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2007524993A publication Critical patent/JP2007524993A/ja
Application granted granted Critical
Publication of JP4532479B2 publication Critical patent/JP4532479B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)

Description

本出願は、2003年3月21日に出願された米国仮出願シリアル番号第60/458,439号に対し優先権を主張し、かつ関連するものである。この出願の内容は、参照してここに組み込まれる。
本発明は、処理部材のためのバリア層、およびそれと同じものを形成する方法に関する。より詳しくは、本発明は密着層、および保護層を備えている処理部材のためのバリア層、およびそれと同じものを形成する方法に関する。
半導体産業の集積回路(IC)の製造は概して、基板から材料を除去するのに、および基板に材料を堆積するのに必要なプラズマリアクタの中での表面化学の生成、およびアシストするためにプラズマを使用する。一般に、プラズマは、供給されたプロセスガスとのイオン化衝突を維持するのに十分なエネルギまで電子を加熱することによって、真空条件下のプラズマリアクタ中で形成される。さらに、加熱された電子は、解離性衝突を維持するのに十分なエネルギを有し、そしてそれゆえに、所定のコンディション(例えばチャンバ圧、ガス流量など)の下のガスの特定のセットは、チャンバ(例えば材料が基板から除去されるエッチングプロセスまたは材料が基板に加えられる堆積プロセス)の中で実行されている特定のプロセスに適している荷電種、および化学的反応種の集団を生成するように選ばれる。
荷電種(イオンなど)、および化学的反応種の集団の形成は、基板表面でのプラズマ処理システム(すなわち材料エッチング、材料堆積など)の機能を実行するために必要であるが、処理チャンバ内部の他の部品表面は、物理的に、および化学的に活性プラズマにさらされ、そしてやがて、腐食し得る。プラズマ処理システム内のさらされた部品の腐食は、プラズマ処理パーフォーマンスの段階的な低下に、そして、最後にシステムの完全故障につながり得る。
プロセスプラズマにさらすことによって被るダメージを最小化するために、処理プラズマにさらされ続けることが知られているプラズマ処理システムの部品は、保護バリアで被覆される。例えば、アルミニウムから製造された部品は、プラズマに対してより耐性を示す酸化アルミニウムの表面層を生じるように陽極酸化され得る。他の例では、消耗可能か交換可能な部品、例えばシリコン、石英、アルミナ、カーボンまたは炭化珪素から作られたものは、頻繁な置換の間、より費用がかかるより高価な部品の表面を保護するために、処理チャンバ内に挿入され得る。さらに、処理プラズマ、およびおそらく基板上に形成されるデバイスへの不必要な汚染物質、不純物などの導入を最少化する表面材料を選ぶことは、望ましい。
いずれの場合においても、保護コーティングの不可避なる不良は、保護バリアの完全性または保護バリアの製造の完全性に起因するものであり、そして、交換可能な部品の消耗品としての性質は、プラズマ処理システムの頻繁なメンテナンスを要求する。
この頻繁なメンテナンスは、プラズマ処理休止時間(down−time)と、新しいプラズマ処理チャンバの過剰となり得る部品とに伴う費用を発生させ得る。
バリア層、およびそれと同じものを形成する方法は、記載される。
プロセスを実行するための処理システム内で利用される処理部材上の保護バリアは、プラズマ電解酸化(plasma electrolytic oxidation)を使用して形成された層を有する、処理部材に結合するボンディング層と、このボンディング層に結合され、プロセスにさらされるように構成された保護層とを含んでいる。
プロセスを実行するために処理システム内で利用される処理部材上に保護バリアを形成する方法は、プラズマ電解酸化することを含んでいる、ボンディング層を処理部材に形成(applying)することと、保護層をボンディング層に形成することとを具備する。
プラズマ処理システム1、例えばプラズマエッチングが可能なものは、図1に記載されており、処理チャンバ10と、上部アセンブリ20と、上部壁24と、基板35を支持するための基板ホルダ30と、処理チャンバ10の減圧雰囲気11を提供するための真空ポンプ(図示せず)に接続されたポンピングダクト40とを具備する。例えば、チャンバ10は、基板35に隣接するプロセス空間12内の処理プラズマの形成を容易にする。プラズマ処理システム1は、さまざまな基板(すなわち200mm基板、300mm基板、またはより大きいもの)を処理するように構成され得る。
示された実施形態において、上部アセンブリ20は、カバーと、ガス注入アセンブリと、上部電極インピーダンスマッチングネットワークとうちの少なくとも1つを備えることができる。例えば、上部壁24は、例として、ラジオ周波数(RF)源に接続された電極板を有する電極を含むように構成されることができ、したがって、プラズマ処理システム1の上部電極を容易にすることができる。他の代わりの実施形態において、上部アセンブリ20は、カバーと、上部壁24とを備え、ここで上部壁24は、電気的ポテンシャルが処理チャンバ10のそれと等しい電位に維持される。例えば、処理チャンバ10と、上部アセンブリ20と、上部壁24とは、接地電位(ground potential)に電気的に接続されることができ、そして、プラズマ処理システム1に対し、接地された壁に容易にすることができる。
処理チャンバ10は、例えば、プロセス空間12内の処理プラズマからプラズマ処理チャンバ10を保護するための堆積シールド14と、光学ビューポート16とを更に具備することができる。光学ビューポート16は、光学ウィンドウ堆積シールド18の裏面に組み合わされる光学ウィンドウ17と、光学ウィンドウ17を光学ウィンドウ堆積シールド18に組み合わされるように構成され得る光学ウィンドウフランジ19とを含むことができる。例えばO−リングのようなシール部材は、光学ウィンドウフランジ19と光学ウィンドウ17との間で、光学ウィンドウ17と光学ウィンドウ堆積シールド18との間で、および光学ウィンドウ堆積シールド18と処理チャンバ10との間で提供され得る。光学ウィンドウ堆積シールド18は、堆積シールド14内で開口部70を通って延伸することができる。光学ビューポート16は、例えば、プロセス空間12内の処理プラズマからの光学発光のモニタリングを可能とすることができる。
基板ホルダ30は、例えば、基板ホルダ30と処理チャンバ10とに接続されたベローズ52によって囲まれ、処理チャンバ10内の減圧雰囲気11からシールするように構成された垂直並進デバイス50(vertical translational device 50)を更に備えることができる。加えて、ベローズシールド54は、例えば、基板ホルダ30に接続されることができ、処理プラズマからベローズ52を保護するように構成されることができる。あるいは、基板ホルダは、垂直並進デバイス、およびベローズを備えない。
基板ホルダ10は、フォーカスリング60と、そして任意に、シールドリング56とに更に組み合わされることができる。さらにまた、バッフル板58は、例えば、基板ホルダ30の周辺のまわりに広がることができる。
基板35は、例えば、ロボット基板移送システムを介して、スロットバルブ(図示せず)およびチャンバフィードスルー(図示せず)を通して処理チャンバ10との間で移送されることができ、基板ホルダ30内に収容された基板リフトピン(図示せず)によって受け取られて、そして、そこに収容されたデバイスによって機械的に移される。一旦、基板35が基板移送システムから受け取られると、それは、基板ホルダ30の上部表面へ降ろされる。
基板35は、例えば、静電クランピングシステムを介して基板ホルダ30に固定され得る。さらにまた、基板ホルダ30は、例えば、基板ホルダ30から熱を受け、そして熱交換器システム(図示せず)へ熱を移し、または加熱するときには、熱を熱交換器システムから基板ホルダ30へ移す、再循環クーラントフロー(re−circulating coolant flow)を含む冷却システムを更に含むことができる。さらに、ガスは、例えば、基板35と基板ホルダ30との間のガス空隙熱伝導(gas−gap thermal conductance)を改良するように、裏面ガスシステムを介して基板35の裏面に分配され得る。基板の温度制御が上昇された温度、または低下された温度で必要とされるときには、このようなシステムは、利用され得る。他の実施の形態において、加熱部材、例えば抵抗加熱部材、または熱−電熱器/冷却器(thermo−electric heaters/coolers)は、含まれることができる。
図1に示された実施形態において、基板ホルダ30は、RFパワーがプロセス空間12内の処理プラズマに結合するように通過する電極(図示せず)を含むことができる。例えば、基板ホルダ30は、RF発振器(図示せず)からインピーダンスマッチングネットワーク(図示せず)を介して基板ホルダ30へのRFパワーの伝送を通して、RF電圧で電気的にバイアスを印加され得る。RFバイアスは、プラズマを形成し、かつ維持するように電子を加熱するのに役に立ち得る。この構成において、システムは、反応性イオンエッチング(Reactive ion etch:RIE)リアクタとして操作でき、チャンバ、および上部ガス注入電極は、接地面として役立つ。RFバイアスに対し典型的周波数は、1MHzから100MHzまでの範囲であり、例えば13.56MHzであり得る。プラズマ処理のためのRFシステムは、当業者にとって周知である。
あるいは、プロセス空間12内で形成される処理プラズマは、平行平板型、容量結合型プラズマ(capacitively coupled plasma:CCP)源、誘導結合型プラズマ(inductively coupled plasma:ICP)源、変成器結合型プラズマ(transformer coupled plasma:TCP)源、それらのいかなる組合せ、そしてDCマグネットシステムのある場合と無い場合でも、それらを使用して形成されることができる。あるいは、プロセス空間12内の処理プラズマは、電子サイクロトロン共鳴(electron cyclotron resonance:ECR)を使用して形成されることができる。さらに別の実施形態では、プロセス空間12内の処理プラズマは、ヘリコン波のラウンチング(launching)から形成される。さらに別の実施形態では、プロセス空間12内の処理プラズマは、表面波(surface wave)の伝搬から形成される。
まだ図1を参照して、プラズマ処理装置1は、1つ以上の処理部材を備え、それぞれは、プロセス空間12内の処理プラズマにさらされ得るもので、それゆえに、処理中に、潜在的な腐食(potential erosion)にさらされている。例えば、この1つ以上の処理部材は、電極板、堆積シールド、チャンバライナ(chamber liner)、ベローズシールド、バッフル板、光学ウィンドウ堆積シールド、シールドリング、フォーカスリングなどを含むことができる。処理プラズマにさらされた処理部材の腐食と、その後の何らかの基板のコンタミネーションとを軽減するために、処理部材は、保護バリアで被覆される。
実施形態において、図2にて示すように、処理部材100は、プラズマによる腐食に耐性を有する保護層120を有する保護バリア110と、保護層の不良によるプロセスコンタミネーションの可能性を軽減するために処理部材100への保護層120の密着性を改良するボンディング層130とを備えている。
保護層120は、例えば、Al、およびYの少なくとも一方より構成され得る。本発明の他の実施形態では、保護層は、第III族元素(III―column element)(周期表の第III族)およびランタニド元素(Lanthanon element)の少なくとも1つを含んでいる。本発明の他の実施形態では、このIII族元素は、イットリウム(Yttrium)、スカンジウム(Scandium)およびランタン(Lanthanum)の少なくとも1つを含んでいる。本発明の他の実施形態では、ランタニド元素は、セリウム(Cerium)、ジスプロシウム(Dysprosium)およびユウロピウム(Europium)の少なくとも1つを含んでいる。本発明の他の実施形態では、保護層を形成する合成物(compound)は、イットリア(Y)、Sc,Sc、YF、La、CeO、EuおよびDyOの少なくとも1つより形成されている。
ボンディング層は、例えば、Keronite(Keronite社(Keronite Limited, Advanced Surface Technology、PO Box 700, Granta Park, Great Abington, Cambridge CB1 6ZY,UK)から市販の表面コーティング処理)である。一般に、ボンディング層は、(金属)処理部材とセラミックとの間の薄い遷移層(transition layer)と、硬度を提供する密度の高い主要層(primary layer)と、より柔らかくより多孔性の外層(outer layer)とを含んだ三層を有することができる。概ね総ボンディング層厚の最大で10〜20%を備えた、より柔らかいより多孔性の外層は、保護層の形成に対しボンディング表面を提供するために、研磨(polishing)によって除去され得る。記載されているようにボンディング層が変換層(conversion layer)であるので、それは、分子ボンディングによる改良された密着特性を備えている。
図3は、保護バリアを処理部材上に形成する方法を示す。この方法は、ステップ510から始まるフローチャート500に示され、ここでボンディング層は、処理部材の少なくとも1つの表面に形成される。処理部材は、例えば、機械加工、研磨および研削(grinding)の少なくとも1つを使用して製造され得る。例えば、上で記載されている処理部材は、ミル(mill)などを含む従来の技術を用いて機械製図に記載される仕様に従って機械加工され得る。例えば、ミルを使用して部品を機械加工する技術は、このような材料を機械加工する当業者にとって周知である。処理部材は、金属、シリコンをベースとした材料、およびセラミックの少なくとも1つより形成され得る。処理部材は、例えば、アルミニウムより形成され得る。ボンディング層は、Keroniteより形成され得る。例えば、Keronite層は、処理部材をマスキングすることと、液体電解質(liquid electrolyte)中のプラズマ放電によって金属的表面を硬く高密度で密着性を有したセラミックに変えるために湿式の化学電解浴(wet chemistry electrolytic bath)に処理部材を沈めることとを含むプラズマ電解酸化(PEO)を介して形成され得る。オプションとして、ボンディング層の(第3の)より柔らかくより多孔性の層は、研磨によって除去され得る。
ステップ520において、保護層は、ボンディング層に形成される。例えばイットリアを含む保護層は、セラミックスプレーコーティングの当業者にとって周知である(熱(thermal))スプレーコーティング技術を使用して形成され得る。代わりの実施形態では、保護バリアを形成することは、熱スプレーコーティング(熱溶射皮膜)を研磨することを更に含むことができる。例えば、熱スプレーコーティングを研磨することは、吹き付けられた表面に研磨紙(sand paper)の適用を含むことができる。
本発明の特定の例示的実施形態だけが上で詳細に記載されたが、当業者は、本発明の新しい教示および効果から逸脱しない範囲において、具体的な例示的実施形態に基づき多くの変更態様が可能であることを容易に理解する。したがって、全てのこのような変更態様は、本発明の範囲内に含まれるものである。
本発明のこれら及び他の効果は、添付の図面と共に本発明の例示的実施形態の詳細な説明から、より明らかに、より容易に理解されることとなる。
本発明の1つの実施形態に係るプラズマ処理システムの概略ブロック図を示す図である。 図1に示すようなプラズマ処理システム内の処理部材の一部の拡大された断面図を示す図である。 本発明の1つの実施形態に係る保護層をプラズマ処理システム内の処理部材の上に形成する方法を示す図である。

Claims (17)

  1. プロセスを実行する処理システム内で利用される処理部材上の保護バリアであって、
    プラズマ電解酸化を使用して形成された少なくとも遷移層および主要層を含み、前記処理部材に結合されたボンディング層と、
    前記ボンディング層に結合され、前記プロセスにさらされるように構成された保護層とを具備する保護バリア。
  2. 前記ボンディング層、前記主要層に提供される外層をさらに含んでいる請求項に記載の保護バリア。
  3. 前記外層の少なくとも一部が研磨、研削、およびグリットブラストの少なくとも1つを使用して除去された後、前記保護層が前記ボンディング層に結合された請求項に記載の保護バリア。
  4. 前記保護層は、第III族元素、およびランタニド元素の少なくとも一方を含んだ合成物で形成されている請求項1に記載の保護バリア。
  5. 前記第III族元素は、イットリウム、スカンジウム、およびランタンの少なくとも1つを含んでいる請求項に記載の保護バリア。
  6. 前記ランタニド元素は、セリウム、ジスプロシウム、およびユウロピウムの少なくとも1つを含んでいる請求項に記載の保護バリア。
  7. 前記保護層は、Al、イットリア(Y)、Sc,Sc、YF、La,CeO,Eu、およびDyOの少なくとも1つで構成されている請求項1に記載の保護バリア。
  8. 前記処理部材は、金属、シリコンベースの材料、およびセラミックの少なくとも1つより形成されている請求項1に記載の保護バリア。
  9. 前記処理部材は、アルミニウムより形成されている請求項1に記載の保護バリア。
  10. 前記プロセスは、プラズマを備えている請求項1に記載の保護バリア。
  11. プロセスを実行する処理システム内で利用される処理部材上の保護バリアを形成する方法であって、
    プラズマ電解酸化によって、少なくとも遷移層および主要層を備えるボンディング層を前記処理部材に形成することと、
    保護層を前記ボンディング層に形成することとを含んでいる方法。
  12. 前記ボンディング層、前記主要層に提供される外層をさらに含んでいる請求項11に記載の方法。
  13. 前記外層は、研磨、研削、およびグリットブラストの少なくとも1つを使用して除去される請求項12に記載の方法。
  14. 前記保護層は、第III族元素、およびランタニド元素の少なくとも1つを含んだ合成物で形成されている請求項11に記載の方法。
  15. 前記第III族元素は、イットリウム、スカンジウム、およびランタンの少なくとも1つを含んでいる請求項14に記載の方法。
  16. 前記ランタニド元素は、セリウム、ジスプロシウム、およびユウロピウムの少なくとも1つを含んでいる請求項14に記載の方法。
  17. 前記保護層は、Al、イットリア(Y)、Sc,Sc、YF、La,CeO,Eu、およびDyOの少なくとも1つで構成されている請求項11に記載の方法。
JP2006508915A 2003-03-31 2004-03-18 処理部材のためのバリア層およびそれと同じものを形成する方法。 Expired - Fee Related JP4532479B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US45843903P 2003-03-31 2003-03-31
PCT/US2004/006080 WO2004095532A2 (en) 2003-03-31 2004-03-18 A barrier layer for a processing element and a method of forming the same

Publications (2)

Publication Number Publication Date
JP2007524993A JP2007524993A (ja) 2007-08-30
JP4532479B2 true JP4532479B2 (ja) 2010-08-25

Family

ID=33310685

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006508915A Expired - Fee Related JP4532479B2 (ja) 2003-03-31 2004-03-18 処理部材のためのバリア層およびそれと同じものを形成する方法。

Country Status (5)

Country Link
US (1) US7291566B2 (ja)
JP (1) JP4532479B2 (ja)
KR (1) KR101016913B1 (ja)
TW (1) TWI243419B (ja)
WO (1) WO2004095532A2 (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
JP4666575B2 (ja) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 セラミック溶射部材の製造方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材
US20090130436A1 (en) * 2005-08-22 2009-05-21 Yoshio Harada Spray coating member having excellent heat emmision property and so on and method for producing the same
JP4555865B2 (ja) * 2005-08-22 2010-10-06 トーカロ株式会社 耐損傷性等に優れる溶射皮膜被覆部材およびその製造方法
JP4571561B2 (ja) 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
US7850864B2 (en) 2006-03-20 2010-12-14 Tokyo Electron Limited Plasma treating apparatus and plasma treating method
JP4643478B2 (ja) * 2006-03-20 2011-03-02 トーカロ株式会社 半導体加工装置用セラミック被覆部材の製造方法
US7648782B2 (en) * 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US20110005922A1 (en) * 2009-07-08 2011-01-13 Mks Instruments, Inc. Methods and Apparatus for Protecting Plasma Chamber Surfaces
US8888982B2 (en) 2010-06-04 2014-11-18 Mks Instruments Inc. Reduction of copper or trace metal contaminants in plasma electrolytic oxidation coatings
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
BR112018003707B8 (pt) * 2015-08-26 2023-05-16 Ethicon Llc Conjunto de cartucho de grampos
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US10563303B2 (en) 2017-05-10 2020-02-18 Applied Materials, Inc. Metal oxy-flouride films based on oxidation of metal flourides
WO2020023302A1 (en) * 2018-07-26 2020-01-30 Lam Research Corporation Surface coating for plasma processing chamber components
CN111410562B (zh) * 2019-09-10 2022-12-30 包头稀土研究院 带有稀土氟氧化物涂层的碱土氧化物坩埚的制备方法
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
CN112301402A (zh) * 2020-11-02 2021-02-02 东北大学 一种新型镁合金热障涂层及其制备方法
WO2023115572A1 (zh) * 2021-12-24 2023-06-29 华为技术有限公司 部件的制备方法、等离子体处理装置
CN115304305B (zh) * 2022-08-24 2023-07-25 庐江县新创新型材料有限公司 一种有机-无机复合早强剂及其制备方法
CN117567161A (zh) * 2023-11-30 2024-02-20 湖南省新化县长江电子有限责任公司 一种高耐磨气阀片陶瓷材料的制备方法

Family Cites Families (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US239495A (en) * 1881-03-29 hayden
US814495A (en) * 1904-06-01 1906-03-06 Gustaf Adam Wiman Paddle-wheel.
US841838A (en) * 1906-10-10 1907-01-22 John R Williams Metal-plate bending or forming machine.
US4310390A (en) * 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4269903A (en) * 1979-09-06 1981-05-26 General Motors Corporation Abradable ceramic seal and method of making same
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPH065155B2 (ja) * 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) * 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
EP0407945B1 (en) * 1989-07-11 1995-01-04 Sony Corporation Method of heat-treating an oxide optical crystal and a heat treatment apparatus for carrying out the same
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5198532A (en) * 1991-03-19 1993-03-30 Shell Oil Company Polycondensation of epihalohydrin and polyhydric alcohols and thermal condensation to form polyethercyclicpolyols
CA2097222A1 (en) 1992-06-01 1993-12-02 Somyong Visaisouk Particle blasting utilizing crystalline ice
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
WO1994014878A1 (en) * 1992-12-28 1994-07-07 Nippon Zeon Co., Ltd. Molding with hard-coating layer and process for producing the same
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) * 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5484752A (en) * 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) * 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
TW321821B (ja) * 1994-05-17 1997-12-01 Hitachi Ltd
IL109857A (en) * 1994-06-01 1998-06-15 Almag Al Electrolytic process and apparatus for coating metals
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5902763A (en) * 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US5759360A (en) * 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
TW323387B (ja) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3208044B2 (ja) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
EP0777258A3 (en) * 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) * 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) * 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US5892278A (en) * 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
CA2205817C (en) 1996-05-24 2004-04-06 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JP3241270B2 (ja) * 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) * 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) * 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6106630A (en) * 1997-08-07 2000-08-22 Applied Materials, Inc. Ceramic-coated heating assembly for high temperature processing chamber
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP4217299B2 (ja) * 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) * 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP4037956B2 (ja) * 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) * 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) * 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) * 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) * 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
CA2382164A1 (en) * 1999-08-17 2001-02-22 Alexandr Sergeevich Shatrov Light alloy-based composite protective multifunction coating
JP4285853B2 (ja) * 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
US6519037B2 (en) * 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
JP3567855B2 (ja) * 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
DE10112889A1 (de) * 2000-03-15 2001-10-18 Preising Paul Eric Reinigungsverfahren und -vorrichtung für hochspannungsführende Anlagenteile
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
JP4651166B2 (ja) * 2000-06-30 2011-03-16 京セラ株式会社 耐食性部材
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
CN101250680B (zh) * 2000-12-12 2013-06-26 东京毅力科创株式会社 等离子体处理容器内部件以及等离子体处理装置
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
EP1343843B1 (en) * 2000-12-18 2004-10-27 Samsung Atofina Co., Ltd. Syndiotactic polystyrene compositions having improved impact strength
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6499668B2 (en) * 2000-12-29 2002-12-31 Siemens Automotive Corporation Modular fuel injector having a surface treatment on an impact surface of an electromagnetic actuator and having a terminal connector interconnecting an electromagnetic actuator with an electrical terminal
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6695929B2 (en) * 2001-02-07 2004-02-24 Sumitomo Special Co., Ltd. Method of making material alloy for iron-based rare earth magnet
US20040030013A1 (en) * 2001-02-28 2004-02-12 Hoy Edgar Franklin Novel rheology modified hydrophobic compositions, modification agents, and methods of making
EP1368697B1 (en) * 2001-03-13 2012-10-24 Merck Patent GmbH Combination of optical films comprising a twisted a-plate and a polarizer
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
GB2386907B (en) * 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US6852433B2 (en) * 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
US20040020011A1 (en) * 2002-07-30 2004-02-05 Gee Bridge International Inc. Bi-directional hinge
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
US6900257B2 (en) * 2002-08-06 2005-05-31 General Electric Company Antistatic agents and polymer compositions derived therefrom
US6845278B2 (en) * 2002-08-07 2005-01-18 Kimberly-Clark Worldwide, Inc. Product attribute data mining in connection with a web converting manufacturing process
JP4007877B2 (ja) * 2002-08-07 2007-11-14 日本ジーイープラスチックス株式会社 ワイヤ・ケーブル被覆材用樹脂組成物
US6906127B2 (en) * 2002-08-08 2005-06-14 Amcol International Corporation Intercalates, exfoliates and concentrates thereof formed with low molecular weight; nylon intercalants polymerized in-situ via ring-opening polymerization
JP4110885B2 (ja) * 2002-08-27 2008-07-02 セイコーエプソン株式会社 液晶表示装置及びその製造方法、並びに電子機器
JP3776856B2 (ja) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US20040060779A1 (en) * 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US6703192B1 (en) * 2003-02-28 2004-03-09 Eastman Kodak Company Photographic peracid bleaching composition, processing kit, and method of use
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate

Also Published As

Publication number Publication date
JP2007524993A (ja) 2007-08-30
TWI243419B (en) 2005-11-11
KR20050120681A (ko) 2005-12-22
TW200425318A (en) 2004-11-16
KR101016913B1 (ko) 2011-02-22
US7291566B2 (en) 2007-11-06
US20060183344A1 (en) 2006-08-17
WO2004095532A3 (en) 2009-04-02
WO2004095532A2 (en) 2004-11-04

Similar Documents

Publication Publication Date Title
JP4532479B2 (ja) 処理部材のためのバリア層およびそれと同じものを形成する方法。
JP4597972B2 (ja) 処理部材上に隣接するコーティングを接合する方法。
US7811428B2 (en) Method and apparatus for an improved optical window deposition shield in a plasma processing system
US8117986B2 (en) Apparatus for an improved deposition shield in a plasma processing system
US7566368B2 (en) Method and apparatus for an improved upper electrode plate in a plasma processing system
US7678226B2 (en) Method and apparatus for an improved bellows shield in a plasma processing system
US7566379B2 (en) Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6837966B2 (en) Method and apparatus for an improved baffle plate in a plasma processing system
US8118936B2 (en) Method and apparatus for an improved baffle plate in a plasma processing system

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100112

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100315

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100511

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100610

R150 Certificate of patent or registration of utility model

Ref document number: 4532479

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130618

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees