TWI381415B - 半導體材料處理裝置之氧化釔鍍膜陶瓷元件以及製造該等元件之方法 - Google Patents

半導體材料處理裝置之氧化釔鍍膜陶瓷元件以及製造該等元件之方法 Download PDF

Info

Publication number
TWI381415B
TWI381415B TW093139417A TW93139417A TWI381415B TW I381415 B TWI381415 B TW I381415B TW 093139417 A TW093139417 A TW 093139417A TW 93139417 A TW93139417 A TW 93139417A TW I381415 B TWI381415 B TW I381415B
Authority
TW
Taiwan
Prior art keywords
yttria
containing coating
substrate
plasma
semiconductor material
Prior art date
Application number
TW093139417A
Other languages
English (en)
Other versions
TW200531136A (en
Inventor
Chris Chang
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200531136A publication Critical patent/TW200531136A/zh
Application granted granted Critical
Publication of TWI381415B publication Critical patent/TWI381415B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • B32B9/04Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising such particular substance as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5045Rare-earth oxides
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/52Multiple coating or impregnating multiple coating or impregnating with the same composition or with compositions only differing in the concentration of the constituents, is classified as single coating or impregnation
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/87Ceramics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/02Pretreatment of the material to be coated, e.g. for coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)

Description

半導體材料處理裝置之氧化釔鍍膜陶瓷元件以及製造該等元件之方法
本發明係關於半導體材料處理裝置之氧化釔鍍膜陶瓷元件以及製造該等元件之方法。
在半導體材料處理領域中,使用包含真空處理腔室之半導體材料處理裝置以用於(例如)蝕刻及基板上各種材料之化學氣相沉積(CVD)且防止脫膜。該等處理包含向真空腔室提供處理氣體及向處理氣體施加RF場以產生電漿。由於在此等處理腔室內之處理氣體及電漿之腐蝕特性,且由於需要使腔室中被處理基板之微粒及/或金屬污染物最小化,需要此等裝置之曝露於電漿之元件具有抵抗此等氣體及電漿侵蝕及腐蝕的能力。
本發明提供一種半導體處理裝置之氧化釔鍍膜陶瓷元件。氧化釔鍍膜陶瓷元件之較佳實施例包括一包含陶瓷材料生坯之基板;及在基板之至少一表面上之經熱噴塗之含氧化釔鍍膜。
該基板可包括各種陶瓷材料。在一較佳實施例中,該基板包括氧化鋁。該含氧化釔鍍膜大體上可由釔組成,或其可進一步包括一或多種其它化合物。
該元件可為如半導體材料處理裝置之介電質窗、腔室壁、腔室襯墊、基板支撐、擋板、氣體分佈板、電漿密封環、噴嘴、扣件、發熱零件(element)、電漿聚焦環、夾盤 或電漿螢幕。
半導體材料處理裝置之氧化釔鍍膜陶瓷元件之另一較佳實施例包括一含有陶瓷材料之基板;一位於基板上之經熱噴塗之第一含氧化釔鍍膜;及一位於基板與第一含氧化釔鍍膜之間的介面之包括陶瓷材料及氧化釔之多相氧化物的結合層。藉由共同燒結該基板及該經類似熱噴塗之第一鍍膜來形成結合層。在另一較佳實施例中,可藉由電漿調節處理來處理含氧化釔鍍膜之曝露表面以減少共同燒結之後附著至曝露表面之氧化釔微粒。在又一較佳實施例中,在經燒結之第一含氧化釔鍍膜上可提供經類似熱噴塗之第二含氧化釔鍍膜以覆蓋氧化釔微粒。
在氧化釔鍍膜陶瓷元件之較佳實施例中,第二含氧化釔鍍膜可具有一有效增強沉積物與表面之黏著力的表面粗糙度。
一製造一半導體材料處理裝置之氧化釔鍍膜陶瓷元件之方法的較佳實施例包括在基板之至少一表面上熱噴塗一含氧化釔鍍膜,該基板為陶瓷材料之生坯。
製造一半導體材料處理裝置之氧化釔鍍膜陶瓷元件之方法的另一較佳實施例包括共同燒結基板及經類似熱噴塗之含氧化釔鍍膜,以形成一位於基板與含氧化釔鍍膜之間的介面之結合層,該結合層包括陶瓷材料及氧化釔之多相氧化物。
製造一半導體材料處理裝置之氧化釔鍍膜陶瓷元件之方法的另一較佳實施例包括在共同燒結之後在含氧化釔鍍膜 上熱噴塗第二含氧化釔鍍膜。
製造一半導體材料處理裝置之氧化釔鍍膜陶瓷元件之方法的另一較佳實施例包括藉由電漿調節處理來處理含氧化釔鍍膜之曝露表面,以減少共同燒結之後附著至該曝露表面的氧化釔微粒。
本發明提供半導體材料處理裝置之氧化釔(yttria)鍍膜陶瓷元件。在處理基板之過程中,陶瓷元件之含氧化釔鍍膜有防止由半導體材料處理裝置之電漿處理腔室中之腐蝕性氣體及電漿所引起的物理及/或化學侵蝕之抗磨損作用。如本文所使用,術語"抗磨損"包含(但不限於)抵抗由處理氣體、電漿或電漿副產物引起的侵蝕、腐蝕及/或腐蝕-侵蝕。
氧化釔鍍膜陶瓷元件之較佳實施例包括形成該等元件之外部表面的至少一含氧化釔鍍膜且該鍍膜抵抗用於處理半導體材料之裝置中由腐蝕性氣體及電漿引起的化學及/或物理侵蝕。此等裝置可用於蝕刻、沉積(例如,CVD、PECVD及其類似)或半導體基板(例如,半導體晶圓、平板顯示器基板,及其類似物)製造中所使用之抗蝕劑剝離處理。
氧化釔鍍膜陶瓷元件可為在半導體材料處理裝置中曝露於電漿及/或腐蝕性氣體之各種元件。此等例示性元件可包含(但不限於)電漿及/或真空腔室(例如,電漿蝕刻器)之部分,諸如介電質窗、腔室壁、腔室襯墊、基板支撐、擋板、氣體分佈板、氣體分佈環、夾盤機構(例如,靜電夾盤)、聚焦環、噴嘴、扣件、加熱零件、電漿螢幕,及其類似物。
如圖1所示,氧化釔鍍膜元件65之一較佳實施例包含一包括陶瓷材料之基板70,及該基板之至少表面72上之經熱噴塗之含氧化釔鍍膜80。該基板70之陶瓷材料可包含如化學計量及非化學計量氧化鋁(Al2 O3 )、石英、氧化鋯、碳化矽(SiC)、氮化矽(Si3 N4 )、碳化硼(B4 C)、氮化硼(BN)、氮化鋁或其混合物。在一較佳實施例中,該陶瓷材料為氧化鋁。
舉例而言,可藉由製備陶瓷材料之漿料,並以該漿料形成所要形狀之生坯(藉由諸如壓製技術、粉漿澆鑄及其類似方法),來製造氧化釔鍍膜陶瓷元件65之基板70。漿料中亦可包含黏合劑及其它合適之添加劑。宜以所要元件之形狀,形成未加工壓坯。在一較佳實施例中,在生坯之一或多個表面上熱噴塗含氧化釔鍍膜80,意即並未部分地或全部地燒結陶瓷材料,以於熱噴塗之前,改良部分或全部機械強度。
另一較佳實施例包括於基板之一或多個表面上熱噴塗含氧化釔鍍膜80之前,部分地燒結基板70。舉例而言,可在約800℃至1600℃之溫度下部分地燒結該基板。燒結時間可視以下因素而變化,該等因素包含元件大小及形狀,及欲藉由燒結達成之密度水平,如全密度之約60%至約80%。舉例而言,燒結時間可在約1小時至若干天之範圍內,以於基板上熱噴塗含氧化釔鍍膜之前,達成元件中之部分機械強度。舉例來說,Engineered Materials Handbook®,第4卷,第3及第4部分,第123-304頁(ASM International,1991)描述可用以形成該基板,並使其緻密之例示性陶瓷處理技術之 詳細資料,其全文以引用的方式併入本文中。
最好藉由僅將氧化釔熱噴塗至表面來形成塗覆於基板70上之含氧化釔鍍膜80,及如下所述之可塗覆於第一塗覆之含氧化釔鍍膜80之上之一或多個額外的含氧化釔鍍膜。元件之含氧化釔鍍膜亦可包含各種化合物,諸如一或多種至少含La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb及Lu其中之一的氧化物、碳化物、氮化物、硼化物及/或碳氮化物。為了嘗試使包含一或多個氧化釔鍍膜陶瓷元件之電漿處理裝置中,所處理之基板的污染最小化,該含氧化釔鍍膜宜具有高純度。舉例而言,含氧化釔鍍膜宜含有最小量可能污染基板(諸如半導體晶圓)之元素。此等元素包含過渡金屬、鹼金屬及其類似物。在較佳實施例中,可充分地提純含氧化釔鍍膜,以避免1010 原子/cm2 或更高之晶圓上污染,而其中以避免105 原子/cm2 或更高之晶圓上污染較佳。含氧化釔材料以具有至少約99%之純度較佳,而以約99.95%至約100%之純度更佳。
在於基板70與含氧化釔鍍膜80之間的介面有效產生一結合層74且完全燒結該基板之條件下較佳共同燒結基板70及塗覆於基板上之含氧化釔鍍膜80。該結合層74提供經塗覆之含氧化釔鍍膜80與基板70的經增強之黏接強度。較佳於約800℃至約1500℃之溫度下經高達約7天之時間進行共同燒結。可在真空氣氛或惰性氣體氣氛下進行共同燒結。在基板70與含氧化釔鍍膜80之間所形成之結合層74之組合物及結構視基板之陶瓷材料而定。舉例而言,在基板為氧化 鋁之實施例中,結合層可包含多相氧化物結構,其包含諸如3Y2 O3 -5Al2 O3 及/或Y2 O3 -Al2 O3 之氧化釔及氧化鋁。舉例而言,在基板為氧化鋯之實施例中,結合層可包含Y2 Zr2 O7
共同燒結處理可在含氧化釔鍍膜80上產生大體上不存在微小裂痕之表面光潔度,在電漿處理期間,在電漿處理裝置中由於元件之熱循環疲乏,該等微小裂痕可導致微粒產生。
然而,已經判定塗覆於基板70上之經類似燒結之含氧化釔鍍膜80可包含附著至此鍍膜之曝露外部表面82之氧化釔微粒。在電漿處理期間,該等氧化釔微粒可藉由曝露於電漿而潛在地移除,且因此會污染基板。在一較佳實施例中,藉由電漿調節處理來處理在經共同燒結元件之基板70上形成的含氧化釔鍍膜80之曝露表面82,以減少附著至曝露表面的附著之氧化釔微粒。用於自曝露表面82移除此等附著之氧化釔微粒的合適電漿調節處理描述於同在申請中之美國專利申請案第09/607,922號中,其全文以引用的方式併入本文中。可藉由在半導體材料處理裝置之電漿處理腔室中安裝元件65來執行電漿調節,且電漿調節該元件以在用存在於腔室中之元件65處理任何生產晶圓之前自曝露之含氧化釔表面移除氧化釔微粒。
圖2展示氧化釔鍍膜陶瓷元件165之另一較佳實施例。在此實施例中,藉由在基板70之含氧化釔鍍膜80上熱噴塗至少一額外含氧化釔鍍膜90來覆蓋在氧化釔鍍膜基板70之曝露表面82上的氧化釔微粒。該外部含氧化釔鍍膜90具有足夠厚度以覆蓋下方含氧化釔鍍膜80之曝露表面82上的氧化 釔微粒,使得當元件165安裝於電漿腔室中時氧化釔微粒不會曝露於電漿。
電漿噴塗係用於塗覆基板70上之含氧化釔鍍膜80以及額外上覆之含氧化釔鍍膜(例如,鍍膜90)的較佳熱噴塗技術。電漿噴塗技術描述於如L.Pawlowski,The Science and Engineering of Thermal Spray Coatings(John Wiley & Sons,1996),其全文以引用的方式併入本文中。
經熱噴塗之含氧化釔鍍膜可具有自約0.001英吋至約1英吋、較佳自約0.001英吋至約0.5英吋且更佳自約0.001英吋至約0.01英吋之類似噴塗厚度。可選擇該含氧化釔鍍膜之厚度以與待遇到之電漿環境(例如,蝕刻、沉積或抗蝕劑剝離)相容。
在不論是否事先使基板表面72粗糙化且沒有中間鍍膜之情況下,經熱噴塗之含氧化釔鍍膜80可直接形成於基板70上以促進鍍膜之黏著力。在一較佳實施例中,在未事先使基板粗糙化及/或形成中間層之情況下,該含氧化釔鍍膜80提供了至基板70之合適黏著,因此避免了額外的處理步驟。該含氧化釔鍍膜80具有與下方基板70之高度結合力。較佳地,該含氧化釔鍍膜具有自約200 ksi至約400 ksi之抗拉結合力。
可形成具有所要表面粗糙度特徵之經熱噴塗之含氧化釔鍍膜,且該鍍膜可具有在自光滑至粗糙之範圍中的表面粗糙度。粗糙的含氧化釔表面可有效改良沉積物之黏著力,該等沉積物諸如在電漿蝕刻處理過程(例如,金屬蝕刻處理) 中由使用聚合物形成種類(例如,碳氟化合物)所引起之聚合物沉積物。如同在申請中之美國專利申請案第09/749,917號中所描述的,此等聚合物沉積物在蝕刻處理期間可自腔室表面脫落並污染腔室中之基板,該案之全文以引用的方式併入本文中。在重複電漿處理循環期間發生的熱循環可加重此問題。
經熱噴塗之含氧化釔鍍膜可具有自約5至約500微英吋且較佳自約120至約250微英吋之範圍的算術平均表面粗糙度(Ra)值。在此較佳範圍內之表面粗度值可增強在電漿蝕刻過程期間沉積於反應腔室之內表面上之聚合物的黏著力,藉此減少由聚合物沉積物所導致的處理基板之污染的發生。
該含氧化釔鍍膜可具有較低孔隙率水平,其可使侵蝕性空氣與下方基板之接觸最小化,且因此亦使由此等侵蝕性空氣引起之隨後的基板之腐蝕、侵蝕及/或腐蝕-侵蝕之物理及/或化學侵蝕最小化。較佳地,含氧化釔鍍膜具有按體積計小於20%,更佳為按體積計小於約5%之孔隙率。
此外,該含氧化釔鍍膜可具有足夠之硬度以抵抗侵蝕。
該含氧化釔鍍膜具有晶體結構,該結構較佳自約10%至約100%立方(cubic)且更佳自約95%至約100%立方。
該含氧化釔鍍膜可提供用於諸如電漿蝕刻腔室之半導體處理裝置的所要之抗磨特性。詳言之,該含氧化釔鍍膜提供可減少離子引入侵蝕及電漿反應室腔室內微粒污染之相關水平的表面。該含氧化釔鍍膜亦可保護下方基板抵抗電漿引起之物理侵蝕及化學侵蝕。
可於蝕刻、沉積、抗蝕劑剝離及其它應用之各種電漿氣氛下,使用該等氧化釔鍍膜陶瓷元件。典型蝕刻化學試劑可包含(例如):含氯氣體,其包含(但不限於)Cl2 、HCl及BCl3 ;含溴氣體,其包含(但不限於)Br2 及HBr;含氧氣體,其包含(但不限於)O2 、H2 O及SO2 ;含氟氣體,包含(但不限於)CF4 、CH2 F2 、NF3 、CH3 F、C2 F6 、CHF3 及SF6 ;及惰性氣體與其它氣體,其包含(但不限於)He、Ar及N2 。依據所要之電漿,可以任何合適之組合來使用該等及其它氣體。可基於諸多因素選擇各種處理氣體之合適流速,該等因素包含(但不限於)電漿反應器之類型、功率設定、腔室壓力電漿分解速率、蝕刻化學試劑、經蝕刻之材料,及其中使用處理氣體之蝕刻過程的特定步驟。
該氧化釔鍍膜陶瓷元件可用於半導體電漿蝕刻過程,蝕刻含矽及含金屬材料之半導體處理設備之蝕刻腔室中。舉例而言,可在此等蝕刻腔室中蝕刻之含矽材料包含(但不限於)單晶矽、多晶矽、非晶矽、氮化矽、氮氧化矽、矽化物、二氧化矽、低k材料及高k材料。含矽材料可為經摻雜或未經摻雜的,及/或經退火或未經退火的。
可被蝕刻之導電或半導電含金屬材料包含(但不限於)鋁、鋁合金、銅、銅合金、鎢、鎢合金、鈦、鈦合金、鉭、鉭合金、鉑、鉑合金、釕、釕合金、鉻、鉻合金、鐵、鐵合金、鎳、鎳合金、鈷、鈷合金、鉬、鉬合金、鈦、鎢、鉻、鈷及/或鉬之矽化物,諸如矽化鉑及氧化釕之鐵電材料,及諸如氮化鉭、矽化鉻及NiFeCo合金之GMR材料。
舉例而言,可將氧化釔鍍膜陶瓷元件用作多晶矽高密度電漿反應器中之反應器元件。此類型之例示性反應器為California之Lam Research Corporation of Fremont所生產之TCP 9400TM 電漿蝕刻反應器。在此反應器中,將處理氣體(例如,Cl2 、HBr、CF4 、CH2 F2 、O2 、N2 、Ar、SF6 及NF3 )供予位於蝕刻腔室底部之氣環,且接著經由進氣孔流入反應器腔室中。圖3展示了TCP 9400TM 多晶矽蝕刻反應器之氣環的截面圖。如圖3所展示,氣環40之主體環繞基板支撐44。氣環40之底部表面含有一環狀氣體導向溝槽60。氣孔50延伸進入氣體導向溝槽60。經由介電質屏蔽,使來自線圈之RF能量電感耦合至腔室內部,產生高密度電漿。
氣環40通常包含鋁。該氣環之上表面直接曝露於電漿,且因此受到侵蝕。為了保護該等表面,氣環可為包含曝露含氧化釔鍍膜42之氧化釔鍍膜陶瓷元件。在處理期間曝露於電漿之此等多晶矽蝕刻反應器之其它元件亦可為氧化釔鍍膜陶瓷元件。該等元件包含腔室壁、腔室襯墊、夾盤器件及介電質窗。
多晶矽反應器之另一實施例為Versys多晶矽蝕刻器或2300蝕刻器,其亦為California之Lam Research Corporation of Fremont所生產。圖4展示了2300多晶矽蝕刻反應器之截面圖,該2300多晶矽蝕刻反應器含有一具有一靜電夾盤154之基板支撐152,當該夾盤安裝於基板上時其對基板提供一夾持力。電漿聚焦環170展示為環繞靜電夾盤154而安裝於基板支撐152上。基板支撐152亦可用於施加 RF偏壓至基板。可使用傳熱氣體來背部冷卻該基板。在2300蝕刻器中,經由連接至氣體供給156之氣體噴射器168來將處理氣體(例如,Cl2 、HBr、CF4 、CH2 F2 、O2 、N2 、Ar、SF6 及NF3 )引入腔室150內。氣體噴射器168通常由石英或諸如氧化鋁之陶瓷材料製成。如圖所示,可藉由合適RF源向電感線圈158提供動力以供應高密度電漿。該電感線圈158經由介電質窗160將RF能量耦合至腔室150內部。該介電質窗160通常由石英或氧化鋁製成。如圖示介電質窗160安裝於環形構件162上,該構件使介電質窗160與腔室150頂端間隔開且其被稱為氣體分佈板。腔室襯墊164環繞基板支撐152。腔室150亦可包含用於使腔室內部維持在所要壓力之合適真空抽汲裝置。
在如圖3所示之例示性實施例中,諸如環形構件162、介電質窗160、基板支撐152、腔室襯墊164、氣體噴射器168、聚焦環170及/或靜電夾盤154之某些反應器元件可為氧化釔鍍膜陶瓷元件且具有曝露之含氧化釔鍍膜166。如圖4所示,腔室150及腔室襯墊164下方之基板支撐152之壁亦可為氧化釔鍍膜陶瓷元件。該等元件之任何一個或全部以及任何其它曝露於電漿之元件均可為氧化釔鍍膜陶瓷元件。
反應器元件亦可用於高密度氧化物蝕刻處理。一例示性氧化物蝕刻反應器為California之Lam Research Corporation of Fremont生產之TCp 9100TM 電漿蝕刻反應器。在TCP 9100TM 反應器中,氣體分佈板係一直接位於TCPTM 窗下方之圓形板,其亦係位於一正在處理之基板上方且與該基板平 行之平面的在反應器頂端之真空密封表面。氣體分佈環自氣體源將氣體饋送至由氣體分佈板、位於向反應器內供給RF能量之平面螺旋線圈形式之天線下方的窗之內表面,及氣體分佈環所界定的空間。氣體分佈板含有延伸穿過該板之孔。氣體分佈板材料為介電質以使此RF功率能夠經由氣體分佈板而耦合進入反應器。此外,需要使氣體分佈板之材料具有在諸如氧氣或氫化碳氟化合物氣體電漿之環境中高度抵抗化學濺鍍蝕刻的能力,以避免損毀及與其相關之微粒產生。
圖5說明此類型之電漿反應器。該反應器包括一電漿腔室10,該電漿腔室10包含一具有靜電夾盤34之基板固持器12,該夾盤向基板13提供夾持力且向基板提供RF偏壓。可使用傳熱氣體來背部冷卻該基板。聚焦環14將電漿限制於基板上方之區域。在電漿腔室10之頂端安置諸如天線18之用於在腔室中維持高密度(例如,1011 -1012 離子/cm3 )電漿之能量源,其由合適RF源提供動力以供應高密度電漿。該腔室包含用於使腔室內部維持在所要壓力(例如,50 mTorr以下,通常為1-20 mTorr)之合適真空抽汲裝置。
在天線18與電漿處理腔室10之內部之間提供一大體上平坦的介電質窗20,且在處理腔室10之頂端形成真空壁。氣體分佈板22位於窗20下方且包含用於自氣體供應23輸送處理氣體至腔室10之開口。圓錐或圓柱襯墊30自氣體分佈板延伸且環繞基板固持器12。天線18可視情況具有一通道24,傳熱流體通過此通道經由入口及出口管道25、26循環。
在運作中,諸如矽晶圓之半導體基板位於基板固持器12上,且藉由靜電夾盤34保持在適當位置。向真空處理腔室10供應處理氣體且藉由向天線18供應RF功率在基板與窗20之間的空間中產生高密度電漿。
在圖5中所示之裝置中,諸如氣體分佈板22、腔室襯墊30、靜電夾盤34及聚焦環14之各種反應器元件可為具有曝露之含氧化釔鍍膜32之氧化釔鍍膜陶瓷元件。
上文所描述之高密度多晶矽及介電質蝕刻腔室僅為可併入氧化釔鍍膜陶瓷元件之例示性電漿蝕刻反應器。該等元件可用於任何蝕刻反應器(例如,金屬蝕刻反應器)或存在電漿侵蝕問題的其它類型之半導體處理設備。
其它氧化釔鍍膜陶瓷元件可為腔室壁、基板固持器、扣件及其類似物,該等元件通常曝露於電漿。其它元件可能未直接曝露於電漿中,但是曝露於諸如自經處理之晶圓發射出之氣體或其類似物的腐蝕性氣體中。用於半導體基板之處理之其它設備亦可為氧化釔鍍膜陶瓷元件。此設備可包含傳送機構、氣體供應系統、襯墊、起模機構、真空隔絕室(load locks)、門機構、自動手臂、扣件及其類似物。
儘管已經根據本發明之特定實施例詳細描述了本發明,但是熟習此項技術者將易瞭解可在不偏離所附加之申請專利範圍之範疇下做出各種改變及修改且可採用等價物。
10‧‧‧電漿處理腔室
12‧‧‧基板固持器
13‧‧‧基板
14‧‧‧聚焦環
18‧‧‧天線
20‧‧‧介電質窗
22‧‧‧氣體分佈板
23‧‧‧氣體供應
24‧‧‧通道
25‧‧‧入口管道
26‧‧‧出口管道
30‧‧‧襯墊
32‧‧‧含氧化釔鍍膜
34‧‧‧夾盤
40‧‧‧氣環
42‧‧‧含氧化釔鍍膜
44‧‧‧基板支撐
50‧‧‧氣孔
60‧‧‧氣體導向溝槽
65‧‧‧氧化釔鍍膜元件
70‧‧‧基板
72‧‧‧基板表面
74‧‧‧結合層
80‧‧‧含氧化釔鍍膜
82‧‧‧鍍膜外表面
90‧‧‧額外含氧化釔鍍膜
150‧‧‧腔室
152‧‧‧基板支撐
154‧‧‧靜電夾盤
156‧‧‧氣體供給
158‧‧‧電感線圈
160‧‧‧介電質窗
162‧‧‧環形構件
164‧‧‧腔室襯墊
165‧‧‧元件
166‧‧‧含氧化釔鍍膜
168‧‧‧氣體噴塗器
170‧‧‧聚焦環
圖1展示包含基板及基板上之含氧化釔鍍膜的氧化釔鍍膜陶瓷元件之較佳實施例。
圖2展示包含基板、基板上之第一含氧化釔鍍膜及第一含氧化釔鍍膜上之第二含氧化釔鍍膜的氧化釔鍍膜陶瓷元件之另一較佳實施例。
圖3係用於多晶矽蝕刻裝置之氣環裝置之實施例的截面圖。
圖4展示含有氧化釔鍍膜陶瓷元件之元件實施例之多晶矽蝕刻腔室。
圖5展示含有氧化釔鍍膜陶瓷元件之實施例之高電漿密度氧化蝕刻腔室。
65‧‧‧氧化釔鍍膜元件
70‧‧‧基板
72‧‧‧基板表面
74‧‧‧結合層
80‧‧‧含氧化釔鍍膜
82‧‧‧外部表面

Claims (29)

  1. 一種半導體材料處理裝置之氧化釔鍍膜陶瓷元件,包括:一包括陶瓷材料之生坯之基板;及一在該基板之至少一表面上之之含氧化釔鍍膜,其中該含氧化釔鍍膜具有一從約200ksi至約400ksi之結合力強度。
  2. 如請求項1之元件,其中該元件係選自由下列各物組成之群:一介電質窗、腔室壁、腔室襯墊、基板支撐、擋板、氣體分佈板、電漿密封環、噴嘴、扣件、加熱零件、電漿聚焦環、夾盤及一電漿螢幕,而且/或該半導體材料處理裝置包括一電漿蝕刻器。
  3. 如請求項1之元件,其中該陶瓷材料係選自由下列各物組成之群:氧化鋁、石英、氧化鋯、碳化矽、氮化矽、碳化硼、氮化硼、氮化鋁及其混合物。
  4. 如請求項1之元件,其中該含氧化釔鍍膜基本上由氧化釔組成,且/或該半導體材料處理裝置包括一電漿蝕刻器。
  5. 如請求項1之元件,其中該含氧化釔鍍膜包括選自下列各元素組成之群中之至少一元素之一氧化物、碳化物、氮化物、硼化物及/或碳氮化物:La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb及Lu。
  6. 如請求項1之元件,其中該含氧化釔鍍膜塗覆於該基板之整個外部表面。
  7. 一種半導體材料處理裝置之氧化釔鍍膜陶瓷元件,包括:一包括陶瓷材料之基板; 一在該基板之至少一表面上之經熱噴塗之第一含氧化釔鍍膜;及一在該基板與該第一含氧化釔鍍膜之間之一介面處的結合層,其包括一包含該陶瓷材料及氧化釔之多相氧化物,已藉由共同燒結該基板及該經類似熱噴塗之第一含氧化釔鍍膜,形成該結合層;其中(i)該第一含氧化釔鍍膜包含一已藉由電漿調節處理之曝露表面,以減少該共同燒結之後,附著至該曝露表面之氧化釔微粒,或(ii)該元件在該經燒結之第一含氧化釔鍍膜上,進一步包括一經類似熱噴塗之第二含氧化釔鍍膜,且其覆蓋附著至該曝露表面之該等氧化釔微粒,且其中當在情況(i)時,該第一含氧化釔鍍膜具有一小於約20%之孔隙率、一自約95%至約100%立方之晶體結構,及一自約200 ksi至約400 ksi之結合力;及當在情況(ii)時,該第一含氧化釔鍍膜及該第二含氧化釔鍍膜具有一小於約20%之孔隙率、一自約95%至約100%立方之晶體結構,及一自約200 ksi至約400 ksi之結合力。
  8. 如請求項7之元件,其中該元件係選自由下列各物組成之群:一介電質窗、腔室壁、腔室襯墊、基板支撐、擋板、氣體分佈板、電漿密封環、噴嘴、扣件、加熱零件、電漿聚焦環、夾盤及一電漿螢幕,而且/或該半導體材料處理裝置包括一電漿蝕刻器。
  9. 如請求項7之元件,其中該基板包括一選自由下列各物組成之群的陶瓷材料:氧化鋁、石英、氧化鋯、碳化矽、 氮化矽、碳化硼、氮化硼、氮化鋁或其混合物。
  10. 如請求項7之元件,其中該元件包含該第二含氧化釔鍍膜,且該第一含氧化釔鍍膜及該第二含氧化釔鍍膜包括選自下列各元素組成之群中之至少一元素之一氧化物、碳化物、氮化物、硼化物及/或碳氮化物:La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb及Lu。
  11. 如請求項7之元件,其中該元件包含該第二含氧化釔鍍膜,且該第一含氧化釔鍍膜及該第二含氧化釔鍍膜係安置於該基板之整個外表面上。
  12. 如請求項7之元件,其中該元件包含該第二含氧化釔鍍膜,且該第一含氧化釔鍍膜及該第二含氧化釔鍍膜基本上由氧化釔組成。
  13. 如請求項7之元件,其中該元件包含該第二含氧化釔鍍膜,該第二含氧化釔鍍膜包含一具有粗糙度之曝露表面,其有效增強沉積於該曝露表面之聚合物微粒之黏著力。
  14. 如請求項7之元件,其中:該基板基本上由氧化鋁組成;該第一鍍膜基本上由氧化釔組成;該結合層包括釔鋁石榴石;及該元件包含基本上由氧化釔組成之該第二含氧化釔鍍膜。
  15. 一種半導體材料處理裝置,包括至少一如請求項7之元 件。
  16. 一種在一半導體材料處理裝置中處理一半導體材料之方法,包括在一含有至少一如請求項7之元件的半導體材料處理裝置之一電漿腔室中,使用一電漿來處理一半導體材料。
  17. 如請求項16之方法,其中該處理包括在該電漿腔室內,電漿蝕刻一多晶矽半導體材料。
  18. 一種製造如請求項1之該氧化釔鍍膜陶瓷元件之方法,包括:在該基板之至少一表面上熱噴塗該含氧化釔鍍膜作為一第一經類似熱噴塗之含氧化釔鍍膜;以及共同燒結該基板及該經類似熱噴塗之第一鍍膜。
  19. 如請求項18之方法,進中共同燒結該基板及該經類似熱噴塗之第一含氧化釔鍍膜,在該基板與該第一含氧化釔鍍膜之間之一介面處形成一結合層,該結合層包括一包含該陶瓷材料及氧化釔之多相氧化物。
  20. 如請求項19之方法,進一步包括在該共同燒結之後,於該第一含氧化釔鍍膜上熱噴塗一第二含氧化釔鍍膜。
  21. 如請求項19之方法,進一步包括在該共同燒結之後,藉由一電漿調節處理來處理該第一含氧化釔鍍膜之一曝露表面,以減少在該共同燒結之後,附著至該曝露表面之氧化釔微粒。
  22. 如請求項20之方法,其中該陶瓷材料為氧化鋁,且該第一含氧化釔鍍膜及該第二含氧化釔鍍膜基本上由氧化釔 組成。
  23. 如請求項19之方法,其中將該基板及該第一含氧化釔鍍膜在約800℃至1600℃之溫度下,共同燒結約達7天之時間。
  24. 如請求項18之方法,其中該元件係選自由下列各物組成之群:一介電質窗、腔室壁、腔室襯墊、基板支撐、擋板、氣體分佈板、電漿密封環、噴嘴、扣件、加熱零件、電漿聚焦環、夾盤及一電漿螢幕,而且/或該半導體材料處理裝置包括一電漿蝕刻器。
  25. 如請求項18之方法,其中該基板包括一選自由下列各物組成之群的陶瓷材料:氧化鋁、石英、氧化鋯、碳化矽、氮化矽、碳化硼、氮化硼、氮化鋁或其混合物。
  26. 如請求項20之方法,其中該第一含氧化釔鍍膜及該第二含氧化釔鍍膜包括選自下列各元素組成之群中之至少一元素之一氧化物、碳化物、氮化物、硼化物及/或碳氮化物:La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb及Lu。
  27. 如請求項20之方法,其中將該第一含氧化釔鍍膜及該第二含氧化釔鍍膜熱噴塗於該基板之整個外部表面。
  28. 如請求項20之方法,其中該第二含氧化釔鍍膜包含一具有一粗糙度之曝露表面,其有效增強以聚合物微粒之形式沉積於該曝露表面之電漿蝕刻副產物之黏著力。
  29. 一種製造如請求項7之該氧化釔鍍膜陶瓷元件之方法,包括: 部分燒結該包括陶瓷材料之基板;在該基板之至少一表面上熱噴塗該第一含氧化釔鍍膜;共同燒結該基板及該經類似熱噴塗之第一含氧化釔鍍膜,以在該基板與該第一含氧化釔鍍膜之間之該介面處,形成該包括該陶瓷材料及氧化釔之多相氧化物的結合層;及(i)在該共同燒結之後,藉由一電漿調節處理來處理該第一含氧化釔鍍膜之曝露表面,以減少附著至該曝露表面之氧化釔微粒,或(ii)在該共同燒結之後,於該經燒結之第一含氧化釔鍍膜上,熱噴塗該第二含氧化釔鍍膜,以覆蓋附著至該曝露表面之該等氧化釔微粒。
TW093139417A 2003-12-18 2004-12-17 半導體材料處理裝置之氧化釔鍍膜陶瓷元件以及製造該等元件之方法 TWI381415B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/737,917 US7220497B2 (en) 2003-12-18 2003-12-18 Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components

Publications (2)

Publication Number Publication Date
TW200531136A TW200531136A (en) 2005-09-16
TWI381415B true TWI381415B (zh) 2013-01-01

Family

ID=34677288

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093139417A TWI381415B (zh) 2003-12-18 2004-12-17 半導體材料處理裝置之氧化釔鍍膜陶瓷元件以及製造該等元件之方法

Country Status (6)

Country Link
US (2) US7220497B2 (zh)
JP (1) JP2007516921A (zh)
KR (1) KR101177333B1 (zh)
CN (1) CN1906026B (zh)
TW (1) TWI381415B (zh)
WO (1) WO2005062758A2 (zh)

Families Citing this family (466)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US20050193951A1 (en) * 2004-03-08 2005-09-08 Muneo Furuse Plasma processing apparatus
JP4467453B2 (ja) * 2004-09-30 2010-05-26 日本碍子株式会社 セラミックス部材及びその製造方法
JP4666575B2 (ja) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 セラミック溶射部材の製造方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材
US9659758B2 (en) * 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
CN101010448B (zh) * 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
EP2071049A1 (en) * 2005-07-29 2009-06-17 Tocalo Co. Ltd. Y2O3 Spray-coated member and production method thereof
US20070032072A1 (en) * 2005-08-02 2007-02-08 Stmicroelectronics Inc. Nucleation layer deposition on semiconductor process equipment parts
JP4796354B2 (ja) * 2005-08-19 2011-10-19 日本碍子株式会社 静電チャック及びイットリア焼結体の製造方法
US20090130436A1 (en) * 2005-08-22 2009-05-21 Yoshio Harada Spray coating member having excellent heat emmision property and so on and method for producing the same
WO2007023976A1 (ja) * 2005-08-22 2007-03-01 Tocalo Co., Ltd. 耐損傷性等に優れる溶射皮膜被覆部材およびその製造方法
JP4571561B2 (ja) * 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7648782B2 (en) * 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
US7850864B2 (en) * 2006-03-20 2010-12-14 Tokyo Electron Limited Plasma treating apparatus and plasma treating method
CN101512736A (zh) * 2006-09-11 2009-08-19 株式会社爱发科 干式蚀刻方法
JP2008103403A (ja) * 2006-10-17 2008-05-01 Tokyo Electron Ltd 基板載置台及びプラズマ処理装置
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7919722B2 (en) * 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US20080106842A1 (en) * 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20080233403A1 (en) * 2007-02-07 2008-09-25 Timothy Dyer Method of Making Ceramic Reactor Components and Ceramic Reactor Component Made Therefrom
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
TWI562205B (en) * 2007-04-27 2016-12-11 Applied Materials Inc Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
CN101903979B (zh) * 2007-12-19 2012-02-01 朗姆研究公司 组合喷淋头电极总成、连接其各部件的方法及衬底处理方法
KR101553423B1 (ko) 2007-12-19 2015-09-15 램 리써치 코포레이션 반도체 진공 프로세싱 장치용 필름 점착제
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
CN101521143B (zh) * 2008-02-25 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 一种用于半导体工艺设备的衬套机构及其制造方法
JP2009212293A (ja) * 2008-03-04 2009-09-17 Tokyo Electron Ltd 基板処理装置用の部品及び基板処理装置
JPWO2009110226A1 (ja) 2008-03-05 2011-07-14 株式会社イー・エム・ディー 高周波アンテナユニット及びプラズマ処理装置
JP5067279B2 (ja) * 2008-06-25 2012-11-07 東京エレクトロン株式会社 処理装置
KR100966132B1 (ko) * 2008-07-25 2010-06-25 주식회사 코미코 내 플라즈마성 갖는 세라믹 코팅체
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US20100186663A1 (en) * 2009-01-23 2010-07-29 Applied Materials, Inc. Methods and apparatus for protecting a substrate support in a semiconductor process chamber
KR200475462Y1 (ko) * 2009-03-27 2014-12-03 램 리써치 코포레이션 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5457109B2 (ja) 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
MY179709A (en) * 2009-09-10 2020-11-11 Lam Res Corp Replaceable upper chamber parts of plasma processing apparatus
WO2011100527A1 (en) * 2010-02-12 2011-08-18 Morgan Advanced Ceramics, Inc. Method for texturing ceramic components
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
US20110207332A1 (en) * 2010-02-25 2011-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film coated process kits for semiconductor manufacturing tools
KR101141577B1 (ko) * 2010-07-07 2012-06-08 (주)세미머티리얼즈 태양전지의 플라즈마 텍스처링 장치 및 방법
US8430970B2 (en) 2010-08-09 2013-04-30 Lam Research Corporation Methods for preventing corrosion of plasma-exposed yttria-coated constituents
CN102079616B (zh) * 2010-12-02 2012-11-14 内蒙古科技大学 浮法玻璃澄清脱色方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
DE202011002844U1 (de) 2011-02-17 2011-06-09 LAM RESEARCH CORPORATION (Delaware Corporation), California Erweiterungselektrode einer Plasmaschrägkantenätzvorrichtung
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103918065A (zh) 2011-08-10 2014-07-09 恩特格林斯公司 具有视需要氧化钇覆盖层的经AlON涂布的基质
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102522306A (zh) * 2011-12-29 2012-06-27 中微半导体设备(上海)有限公司 喷淋头
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
CN103484809B (zh) * 2012-06-14 2015-09-02 北京北方微电子基地设备工艺研究中心有限责任公司 抗腐蚀涂层的制作方法、抗腐蚀涂层、等离子体加工设备
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103794459B (zh) * 2012-10-29 2016-04-06 中微半导体设备(上海)有限公司 用于等离子处理腔室的气体喷淋头及其涂层形成方法
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
KR102202406B1 (ko) * 2013-05-23 2021-01-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 챔버를 위한 코팅된 라이너 어셈블리
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
DE102013214563A1 (de) * 2013-07-25 2015-01-29 Robert Bosch Gmbh Funktionselement zum Einsatz in Hochtemperaturanwendungen
US9624593B2 (en) * 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US9440886B2 (en) * 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN103716925B (zh) * 2014-01-02 2016-01-20 韩玖町 一种面电热元件的无污染型制造方法
US9975320B2 (en) 2014-01-13 2018-05-22 Applied Materials, Inc. Diffusion bonded plasma resisted chemical vapor deposition (CVD) chamber heater
US10046542B2 (en) 2014-01-27 2018-08-14 Corning Incorporated Articles and methods for controlled bonding of thin sheets with carriers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP3129221A1 (en) 2014-04-09 2017-02-15 Corning Incorporated Device modified substrate article and methods for making
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
CN105304519A (zh) * 2014-07-11 2016-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 内衬、内衬的制备方法及反应腔室
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR101658284B1 (ko) * 2014-08-05 2016-09-20 주식회사 엘지실트론 잉곳성장장치
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR20160030812A (ko) * 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
CN107078079A (zh) * 2014-10-15 2017-08-18 应用材料公司 抗腐蚀减量系统
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
DK178658B1 (da) * 2015-02-04 2016-10-17 Tresu As Kammerrakel
CN105990081B (zh) * 2015-02-09 2018-09-21 中微半导体设备(上海)有限公司 等离子体处理装置及其制作方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102376982B1 (ko) * 2015-04-14 2022-03-21 삼성전자주식회사 세라믹을 이용하여 파티클 저감 효과를 가지는 원격 플라즈마 발생장치
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
JP2018524201A (ja) 2015-05-19 2018-08-30 コーニング インコーポレイテッド シートをキャリアと結合するための物品および方法
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
JP7106276B2 (ja) 2015-06-26 2022-07-26 コーニング インコーポレイテッド シート及び担体を有する物品及び方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6573820B2 (ja) 2015-11-09 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置用部材及びプラズマ処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
JP6854600B2 (ja) * 2016-07-15 2021-04-07 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、および基板載置台
DE102016009730A1 (de) * 2016-07-28 2018-02-01 Forschungszentrum Jülich GmbH Verfahren zur Verstärkung von transparenten Keramiken sowie Keramik
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
TW202216444A (zh) 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI821867B (zh) 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11205795B2 (en) * 2016-11-21 2021-12-21 Fuelcell Energy, Inc. Reinforced matrix for molten carbonate fuel cell and method for manufacturing the same
KR102195751B1 (ko) * 2016-12-14 2020-12-28 가부시키가이샤 아루박 성막 장치 및 성막 방법
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
EP3602603A4 (en) * 2017-03-21 2020-12-30 Component Re-Engineering Company Inc. CERAMIC MATERIAL ARRANGEMENT FOR USE IN HIGHLY CORROSIVE OR EROSIVE SEMI-CONDUCTOR PROCESSING APPLICATIONS
CN106887381B (zh) * 2017-03-27 2019-11-22 上海华力微电子有限公司 一种刻蚀腔体环境稳定性的优化方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP7260523B2 (ja) 2017-08-18 2023-04-18 コーニング インコーポレイテッド ポリカチオン性高分子を使用した一時的結合
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10727195B2 (en) * 2017-09-15 2020-07-28 Technetics Group Llc Bond materials with enhanced plasma resistant characteristics and associated methods
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
JP6967944B2 (ja) * 2017-11-17 2021-11-17 東京エレクトロン株式会社 プラズマ処理装置
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
CN111615567B (zh) * 2017-12-15 2023-04-14 康宁股份有限公司 用于处理基板的方法和用于制备包括粘合片材的制品的方法
WO2019126155A1 (en) 2017-12-18 2019-06-27 Entegris, Inc. Chemical resistant multi-layer coatings applied by atomic layer deposition
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11014853B2 (en) 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN112514044A (zh) * 2018-07-31 2021-03-16 朗姆研究公司 用于衬底处理系统的具有介电窗的蜂窝式喷射器
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7268177B2 (ja) * 2019-02-12 2023-05-02 アプライド マテリアルズ インコーポレイテッド チャンバ部品を製造するための方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
CN111627790B (zh) * 2019-02-27 2024-05-03 Toto株式会社 半导体制造装置构件、半导体制造装置、显示器制造装置
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR102272156B1 (ko) 2019-09-03 2021-07-01 한국세라믹기술원 이트륨계 세라믹 및 그 제조 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN110723964B (zh) * 2019-09-30 2022-04-01 江西中材新材料有限公司 一种阻隔层、烧结模具及其制备方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
JP2023511102A (ja) * 2020-01-23 2023-03-16 ラム リサーチ コーポレーション プラズマ処理チャンバコンポーネント用のイットリウムアルミニウムコーティング
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR102290498B1 (ko) 2020-03-30 2021-08-17 (주)도 은 렌즈 코팅용 옥시불화이트륨을 함유하는 저굴절 물질 및 그의 제조방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113802094B (zh) * 2020-06-16 2024-04-05 中微半导体设备(上海)股份有限公司 耐腐蚀涂层的镀膜方法及等离子体刻蚀零部件和反应装置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US20230223240A1 (en) * 2020-06-25 2023-07-13 Lam Research Corporation Matched chemistry component body and coating for semiconductor processing chamber
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
JP2023014880A (ja) * 2021-07-19 2023-01-31 キオクシア株式会社 成膜装置および基板支持装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022204358A1 (de) * 2022-05-03 2023-11-09 Robert Bosch Gesellschaft mit beschränkter Haftung Schutzelement und Plasmaätzkammer mit einem Schutzelement
DE202022002731U1 (de) 2022-05-03 2023-02-20 Robert Bosch Gesellschaft mit beschränkter Haftung Schutzelement und Plasmaätzkammer mit einem Schutzelement

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4525464A (en) * 1984-06-12 1985-06-25 Max-Planck-Gesellschaft Zur Forderung Der Wissenschaften Ceramic body of zirconium dioxide (ZrO2) and method for its preparation
US20020018921A1 (en) * 2000-04-18 2002-02-14 Ngk Insulators, Ltd. Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US612379A (en) * 1898-10-11 Claffey and orie p
US4336276A (en) * 1980-03-30 1982-06-22 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Fully plasma-sprayed compliant backed ceramic turbine seal
US4525797A (en) * 1983-01-03 1985-06-25 Motorola, Inc. N-bit carry select adder circuit having only one full adder per bit
JP3076768B2 (ja) * 1997-01-17 2000-08-14 トーカロ株式会社 薄膜形成装置用部材の製造方法
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6861165B2 (en) * 2000-02-24 2005-03-01 Ibiden Co., Ltd. Aluminum nitride sintered compact, ceramic substrate, ceramic heater and electrostatic chuck
JP4540221B2 (ja) * 2000-04-21 2010-09-08 日本碍子株式会社 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材
EP1211725A4 (en) * 2000-05-10 2003-02-26 Ibiden Co Ltd ELECTROSTATIC CHUCK
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6606234B1 (en) 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow
JP4440541B2 (ja) * 2000-12-12 2010-03-24 東京エレクトロン株式会社 プラズマ処理装置の再生方法、プラズマ処理装置およびプラズマ処理容器の内部の部材の再生方法
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
JP2002356387A (ja) * 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP2003059904A (ja) * 2001-07-31 2003-02-28 Applied Materials Inc 半導体製造装置、半導体製造装置のチャンバ、半導体製造装置のチャンバ再生方法
US6789498B2 (en) * 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US20040086633A1 (en) * 2002-11-04 2004-05-06 Lemmon John P. Systems and methods for the fabrication of solid oxide fuel cell components using liquid spraying
JP4040998B2 (ja) * 2003-03-14 2008-01-30 日本碍子株式会社 セラミックス部材

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4525464A (en) * 1984-06-12 1985-06-25 Max-Planck-Gesellschaft Zur Forderung Der Wissenschaften Ceramic body of zirconium dioxide (ZrO2) and method for its preparation
US20020018921A1 (en) * 2000-04-18 2002-02-14 Ngk Insulators, Ltd. Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members

Also Published As

Publication number Publication date
US7220497B2 (en) 2007-05-22
CN1906026A (zh) 2007-01-31
KR20060132649A (ko) 2006-12-21
US8293335B2 (en) 2012-10-23
KR101177333B1 (ko) 2012-08-30
WO2005062758A3 (en) 2006-01-12
TW200531136A (en) 2005-09-16
WO2005062758A2 (en) 2005-07-14
US20050136188A1 (en) 2005-06-23
US20070166477A1 (en) 2007-07-19
JP2007516921A (ja) 2007-06-28
CN1906026B (zh) 2011-08-03

Similar Documents

Publication Publication Date Title
TWI381415B (zh) 半導體材料處理裝置之氧化釔鍍膜陶瓷元件以及製造該等元件之方法
KR100882758B1 (ko) 반도체 공정 설비내의 세륨 옥사이드 함유 세라믹 부품 및 코팅
JP4331479B2 (ja) 半導体処理装置における高靭性ジルコニアセラミック構成要素とコーティングおよびその製造方法
KR100830068B1 (ko) 반도체 공정 설비의 보론 나이트라이드/이트리아 복합체부품 및 그 제조방법
KR100853972B1 (ko) 반응기 벽체 상의 다이아몬드 코팅막 및 그 제조방법
US6780787B2 (en) Low contamination components for semiconductor processing apparatus and methods for making components
KR100916952B1 (ko) 반도체 처리 장비의 풀러린 코팅 컴포넌트
CN100545304C (zh) 用于半导体处理设备的陶瓷件
JP2007291528A (ja) 処理装置
JP2009158938A (ja) ウエハ