KR101177333B1 - 반도체 재료 프로세싱 장치의 산화이트륨 (yttria)-코팅 세라믹 부품 및 그 부품을 제조하는 방법 - Google Patents
반도체 재료 프로세싱 장치의 산화이트륨 (yttria)-코팅 세라믹 부품 및 그 부품을 제조하는 방법 Download PDFInfo
- Publication number
- KR101177333B1 KR101177333B1 KR1020067014368A KR20067014368A KR101177333B1 KR 101177333 B1 KR101177333 B1 KR 101177333B1 KR 1020067014368 A KR1020067014368 A KR 1020067014368A KR 20067014368 A KR20067014368 A KR 20067014368A KR 101177333 B1 KR101177333 B1 KR 101177333B1
- Authority
- KR
- South Korea
- Prior art keywords
- yttrium
- containing coating
- substrate
- plasma
- coated ceramic
- Prior art date
Links
- 239000000919 ceramic Substances 0.000 title claims abstract description 50
- 238000012545 processing Methods 0.000 title claims abstract description 44
- 239000000463 material Substances 0.000 title claims abstract description 38
- 239000004065 semiconductor Substances 0.000 title claims abstract description 33
- 238000000034 method Methods 0.000 title claims description 34
- 238000004519 manufacturing process Methods 0.000 title claims description 10
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 title abstract description 8
- 229910052727 yttrium Inorganic materials 0.000 claims abstract description 155
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 claims abstract description 155
- 238000000576 coating method Methods 0.000 claims abstract description 101
- 239000011248 coating agent Substances 0.000 claims abstract description 97
- 239000000758 substrate Substances 0.000 claims abstract description 95
- 239000002245 particle Substances 0.000 claims abstract description 21
- 229910010293 ceramic material Inorganic materials 0.000 claims abstract description 19
- 238000005245 sintering Methods 0.000 claims abstract description 18
- 238000009826 distribution Methods 0.000 claims description 16
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 claims description 11
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 11
- 229920005591 polysilicon Polymers 0.000 claims description 10
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 claims description 9
- 238000005507 spraying Methods 0.000 claims description 9
- 230000003750 conditioning effect Effects 0.000 claims description 8
- 238000001020 plasma etching Methods 0.000 claims description 7
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 claims description 6
- 229920000642 polymer Polymers 0.000 claims description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 6
- 238000011282 treatment Methods 0.000 claims description 5
- 239000010453 quartz Substances 0.000 claims description 4
- 229910052582 BN Inorganic materials 0.000 claims description 3
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 claims description 3
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 3
- 239000000853 adhesive Substances 0.000 claims description 3
- 230000001070 adhesive effect Effects 0.000 claims description 3
- 238000010438 heat treatment Methods 0.000 claims description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 3
- 229910052580 B4C Inorganic materials 0.000 claims description 2
- 229910052684 Cerium Inorganic materials 0.000 claims description 2
- 229910052692 Dysprosium Inorganic materials 0.000 claims description 2
- 229910052691 Erbium Inorganic materials 0.000 claims description 2
- 229910052693 Europium Inorganic materials 0.000 claims description 2
- 229910052688 Gadolinium Inorganic materials 0.000 claims description 2
- 229910052689 Holmium Inorganic materials 0.000 claims description 2
- 229910052779 Neodymium Inorganic materials 0.000 claims description 2
- 229910052777 Praseodymium Inorganic materials 0.000 claims description 2
- 229910052772 Samarium Inorganic materials 0.000 claims description 2
- 229910052771 Terbium Inorganic materials 0.000 claims description 2
- 229910052775 Thulium Inorganic materials 0.000 claims description 2
- 229910052769 Ytterbium Inorganic materials 0.000 claims description 2
- INAHAJYZKVIDIZ-UHFFFAOYSA-N boron carbide Chemical compound B12B3B4C32B41 INAHAJYZKVIDIZ-UHFFFAOYSA-N 0.000 claims description 2
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 claims description 2
- 239000013078 crystal Substances 0.000 claims description 2
- 229910052746 lanthanum Inorganic materials 0.000 claims description 2
- 150000001247 metal acetylides Chemical class 0.000 claims description 2
- 239000000203 mixture Substances 0.000 claims description 2
- 150000004767 nitrides Chemical class 0.000 claims description 2
- 230000003647 oxidation Effects 0.000 claims description 2
- 238000007254 oxidation reaction Methods 0.000 claims description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 2
- JNDMLEXHDPKVFC-UHFFFAOYSA-N aluminum;oxygen(2-);yttrium(3+) Chemical compound [O-2].[O-2].[O-2].[Al+3].[Y+3] JNDMLEXHDPKVFC-UHFFFAOYSA-N 0.000 claims 1
- 229910010271 silicon carbide Inorganic materials 0.000 claims 1
- 229910019901 yttrium aluminum garnet Inorganic materials 0.000 claims 1
- 210000002381 plasma Anatomy 0.000 description 60
- 239000007789 gas Substances 0.000 description 58
- 230000008569 process Effects 0.000 description 19
- 238000005530 etching Methods 0.000 description 16
- 238000011109 contamination Methods 0.000 description 7
- 235000012431 wafers Nutrition 0.000 description 7
- 230000003628 erosive effect Effects 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- 229910052751 metal Inorganic materials 0.000 description 5
- 239000002184 metal Substances 0.000 description 5
- 230000003746 surface roughness Effects 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 239000000460 chlorine Substances 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 235000012239 silicon dioxide Nutrition 0.000 description 4
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 238000005260 corrosion Methods 0.000 description 3
- 230000007797 corrosion Effects 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 238000011160 research Methods 0.000 description 3
- 239000002002 slurry Substances 0.000 description 3
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 230000006698 induction Effects 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 238000007750 plasma spraying Methods 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 238000005086 pumping Methods 0.000 description 2
- 238000007788 roughening Methods 0.000 description 2
- 150000003377 silicon compounds Chemical class 0.000 description 2
- 239000007921 spray Substances 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- 238000007751 thermal spraying Methods 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 229910000531 Co alloy Inorganic materials 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- 229910000640 Fe alloy Inorganic materials 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- 229910052765 Lutetium Inorganic materials 0.000 description 1
- 229910001182 Mo alloy Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 229910000990 Ni alloy Inorganic materials 0.000 description 1
- 229910001260 Pt alloy Inorganic materials 0.000 description 1
- 229910000929 Ru alloy Inorganic materials 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910001362 Ta alloys Inorganic materials 0.000 description 1
- 229910001069 Ti alloy Inorganic materials 0.000 description 1
- 229910001080 W alloy Inorganic materials 0.000 description 1
- ROZSPJBPUVWBHW-UHFFFAOYSA-N [Ru]=O Chemical class [Ru]=O ROZSPJBPUVWBHW-UHFFFAOYSA-N 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 229910052783 alkali metal Inorganic materials 0.000 description 1
- 150000001340 alkali metals Chemical class 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 239000011230 binding agent Substances 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 238000004814 ceramic processing Methods 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 239000011651 chromium Substances 0.000 description 1
- DYRBFMPPJATHRF-UHFFFAOYSA-N chromium silicon Chemical class [Si].[Cr] DYRBFMPPJATHRF-UHFFFAOYSA-N 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 230000004992 fission Effects 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 239000013529 heat transfer fluid Substances 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- GALOTNBSUVEISR-UHFFFAOYSA-N molybdenum;silicon Chemical class [Mo]#[Si] GALOTNBSUVEISR-UHFFFAOYSA-N 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000007569 slipcasting Methods 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- -1 tantalum nitrides Chemical class 0.000 description 1
- 229910052723 transition metal Inorganic materials 0.000 description 1
- 150000003624 transition metals Chemical class 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B41/00—After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
- C04B41/45—Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
- C04B41/52—Multiple coating or impregnating multiple coating or impregnating with the same composition or with compositions only differing in the concentration of the constituents, is classified as single coating or impregnation
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4404—Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B32—LAYERED PRODUCTS
- B32B—LAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
- B32B9/00—Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
- B32B9/04—Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising such particular substance as the main or only constituent of a layer, which is next to another layer of the same or of a different material
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B41/00—After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
- C04B41/009—After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B41/00—After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
- C04B41/45—Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
- C04B41/50—Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
- C04B41/5025—Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
- C04B41/5045—Rare-earth oxides
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B41/00—After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
- C04B41/80—After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
- C04B41/81—Coating or impregnation
- C04B41/85—Coating or impregnation with inorganic materials
- C04B41/87—Ceramics
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/02—Pretreatment of the material to be coated, e.g. for coating on selected surface areas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/04—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/04—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
- C23C4/10—Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/04—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
- C23C4/10—Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
- C23C4/11—Oxides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Materials Engineering (AREA)
- Ceramic Engineering (AREA)
- Organic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Structural Engineering (AREA)
- Inorganic Chemistry (AREA)
- Analytical Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
- Coating By Spraying Or Casting (AREA)
Abstract
반도체 재료 프로세싱 장치의 산화이트륨-코팅 세라믹 부품 (65) 는 기판 (70) 과 기판 (70) 상의 하나 이상의 산화이트륨-함유 코팅 (80) 을 포함한다. 부품 (65) 은 세라믹 재료의 그린 보디일 수 있는 세라믹 기판 (70) 상에 제 1 산화이트륨-함유 코팅 (80) 을 도포함으로써 이루어진다. 코팅된 그린 보디는 소결된다. 제 1 산화이트륨-함유 코팅 (80) 은 소결하는 단계로부터 초래되는 부착된 산화이트륨 입자를 제거하도록 처리될 수 있다. 다른 실시형태에서, 입자를 커버하기 위해 제 2 산화이트륨-함유 코팅 (90) 이 제 1 산화이트륨-함유 코팅 (80) 상에 용사될 수 있다.
산화이트륨-코팅 세라믹 부품, 산화이트륨 입자, 코팅된 그린 보디
Description
배경
반도체 재료 프로세싱 분야에서는, 예를 들어, 기판상에 다양한 재료의 에칭, 화학기상증착 (CVD; chemical vapor depositing), 및 레지스트 박리 (resist stripping) 를 위해 진공 프로세싱 챔버를 포함하는 반도체 재료 프로세싱 장치가 이용된다. 이러한 프로세스는 프로세스 가스를 진공 챔버로 공급하는 단계 및 플라즈마를 생성하기 위해 가스에 RF 장을 인가하는 단계를 포함한다. 이러한 프로세싱 챔버에서 프로세스 가스와 플라즈마의 부식성, 및 이 챔버에서 프로세스된 기판의 입자 및/또는 금속 오염을 최소화하려는 소망으로 인해, 이러한 장치의 플라즈마-노출 부품은 이러한 가스 및 플라즈마에 대해 내침식성 및 내부식성 (erosion and corrosion resistant) 인 것이 바람직하다.
발명의 개요
반도체 프로세싱 장치의 산화이트륨-코팅 세라믹 부품 (yttria-coated ceramic component) 이 제공된다. 산화이트륨-코팅 세라믹 부품의 바람직한 일 실시형태는 세라믹 재료의 그린 보디 (green body) 로 구성된 기판; 및 기판의 하나 이상의 표면상에 용사된 산화이트륨-함유 코팅을 포함한다.
기판은 다양한 세라믹 재료를 포함할 수 있다. 일 바람직한 실시형태에서, 기판은 알루미나 (alumina) 를 포함한다. 산화이트륨-함유 코팅은 본질적으로 산화이트륨으로 이루어질 수 있고, 또는 하나 이상의 추가적인 화합물을 더 포함할 수 있다.
산화이트륨-코팅 세라믹 부품은 예를 들어, 유전체 윈도우 (dielectric window), 챔버 벽, 챔버 라이너 (chamber liner), 기판 지지부, 배플 (baffle), 가스 분배판, 플라즈마 한정 링, 노즐, 패스너 (fastener), 발열체 (heating element), 플라즈마 포커스 링, 척, 또는 반도체 재료 프로세싱 장치의 플라즈마 스크린일 수 있다.
반도체 재료 프로세싱 장치의 산화이트륨-코팅 세라믹 부품의 다른 바람직한 실시형태는 세라믹 재료로 이루어진 기판; 기판상에 용사된 제 1 산화이트륨-함유 코팅; 및 기판과 제 1 산화이트륨-함유 코팅 사이의 계면에서 세라믹 재료 및 산화이트륨의 다상 산화물 (multi-phase oxide) 을 포함하는 본드층을 포함한다. 본드층은 기판과 용사된 제 1 코팅을 공동 소결 (cosintering) 함으로써 형성된다. 다른 바람직한 실시형태에서, 산화이트륨-함유 코팅의 노출된 표면은 공동 소결된 후에 노출된 표면에 부착된 산화이트륨의 입자를 감소시키기 위해 플라즈마 컨디셔닝 처리에 의해 처리될 수 있다. 다른 바람직한 실시형태에서, 용사된 제 2 산화이트륨-함유 코팅은 산화이트륨 입자를 커버하기 위해 소결된 제 1 산화이트륨-함유 코팅상에 제공될 수 있다.
산화이트륨-코팅 세라믹 부품의 바람직한 실시형태에서, 제 2 산화이트륨-함유 코팅은 표면으로의 퇴적물의 부착성을 강화하는데 효율적인 표면 거칠기 (surface roughness) 를 가질 수 있다.
반도체 재료 프로세싱 장치의 산화이트륨-코팅 세라믹 부품을 만드는 방법의 바람직한 실시형태는 세라믹 재료의 그린 보디인 기판의 하나 이상의 표면상에 산화이트륨-함유 코팅을 용사하는 단계를 포함한다.
반도체 재료 프로세싱 장치의 산화이트륨-코팅 세라믹 부품을 만드는 방법의 다른 바람직한 실시형태는 기판과 산화이트륨-함유 코팅 사이의 계면에 세라믹 재료와 산화이트륨의 다상 산화물을 포함하는 본드층을 형성하기 위해 기판과 용사된 산화이트륨-함유 코팅을 공동 소결하는 단계를 포함한다.
반도체 재료 프로세싱 장치의 산화이트륨-코팅 세라믹 부품을 만드는 방법의 다른 바람직한 실시형태는 공동 소결하는 단계 후에, 산화이트륨-함유 코팅상에 제 2 산화이트륨-함유 코팅을 용사하는 단계를 포함한다.
반도체 재료 프로세싱 장치의 산화이트륨-코팅 세라믹 부품을 만드는 방법의 또 다른 바람직한 실시형태는 공동 소결하는 단계 후에, 노출된 표면에 부착된 산화이트륨의 입자를 감소시키기 위해 플라즈마 컨디셔닝 처리에 의해 산화이트륨-함유 코팅의 노출된 표면을 처리하는 단계를 포함한다.
도면의 상세한 설명
도 1 은 기판 및 기판상의 산화이트륨-함유 코팅을 포함하는 산화이트륨-코팅 세라믹 부품의 바람직한 실시형태를 도시한다.
도 2 는 기판, 기판상의 제 1 산화이트륨-함유 코팅, 및 제 1 산화이트륨-함유 코팅상의 제 2 산화이트륨-함유 코팅을 포함하는 산화이트륨-코팅 세라믹 부품의 다른 바람직한 실시형태를 도시한다.
도 3 은 폴리실리콘 에칭 장치에 대한 가스 링 장치의 실시형태의 단면도이다.
도 4 는 산화이트륨-코팅 세라믹 부품의 실시형태를 포함하는 폴리실리콘 에칭 챔버를 나타낸다.
도 5 는 산화이트륨-코팅 세라믹 부품의 실시형태를 포함하는 고플라즈마-밀도 산화물 에칭 챔버를 나타낸다.
발명의 상세한 설명
반도체 재료 프로세싱 장치의 산화이트륨 (yttrium oxide; yttria)-코팅 세라믹 부품이 제공된다. 세라믹 부품의 산화이트륨-함유 코팅은 기판의 프로세싱 동안 반도체 재료 프로세싱 장치의 플라즈마 프로세싱 챔버 내에 존재하는 부식성 가스 및 플라즈마에 의한 물리적 및/또는 화학적 공격에 대하여 내마모성 (wear resistant) 이다. 본 명세서에서 사용된 용어 "내마모성" 은 프로세스 가스, 플라즈마 또는 플라즈마 부산물에 기인하는 침식, 부식 및/또는 부식-침식에 대한 내성을 포함하지만 이에 한정되지는 않는다.
산화이트륨-코팅 세라믹 부품의 바람직한 실시형태는 부품의 외부 표면을 형성하고 반도체 재료를 프로세싱하기 위한 장치내에서의 부식성 가스 및 플라즈마에 의한 화학적 및/또는 물리적 공격에 대한 내성이 있는 하나 이상의 산화이트륨-함유 코팅을 포함한다. 이러한 장치는 예를 들어, 반도체 웨이퍼, 평판 디스플레이 기판 등의 반도체 기판의 제조에 이용되는 에칭, 증착 (예를 들어, CVD, PECVD 등), 또는 레지스트 박리 프로세스에 이용될 수 있다.
산화이트륨-코팅 세라믹 부품은 반도체 재료 프로세싱 장치 내에서 플라즈마 및/또는 부식성 가스에 노출되는 각종 부품일 수 있다. 이러한 예시적인 부품은 유전체 윈도우, 챔버 벽, 챔버 라이너, 기판 지지부, 배플 (baffle), 가스 분배판, 가스 분배 링, 척 기구 (예를 들어, 정전척), 포커스 링, 노즐, 패스너, 발열체, 플라즈마 스크린 등과 같은 플라즈마 및/또는 진공 챔버 (예를 들어, 플라즈마 에칭장치) 의 일부를 포함할 수 있으나, 이에 한정되지 않는다.
도 1 에 도시된 바와 같이, 산화이트륨-코팅 부품 (65) 의 바람직한 실시형태는 기판의 하나 이상의 표면 (72) 상에서 세라믹 재료, 및 용사된 산화이트륨-함유 코팅 (80) 으로 이루어지는 기판 (70) 을 포함한다. 기판 (70) 의 세라믹 재료는 예를 들어, 화학량적 및 비-화학량적인 알루미나 (Al2O3), 석영, 지르코니아 (zirconia), SiC (silicon carbide), Si3N4 (silicon nitride), B4C (boron carbide), BN (boron nitride), 알루미늄 질화물 (aluminum nitride), 또는 그 혼합물을 포함할 수 있다. 바람직한 실시형태에서, 세라믹 재료는 알루미나이다.
산화이트륨-코팅 세라믹 부품 (65) 의 기판 (70) 은 예를 들어, 세라믹 재료의 슬러리 (slurry) 를 준비하고, 슬러리로부터 그린 보디 (green body) 를 예컨데 압축 기술, 슬립 캐스팅 (slip casting) 등에 의해, 원하는 형상으로 형성함으로써 제조될 수 있다. 또한, 접합제 및 다른 적절한 첨가제가 슬러리내에 포함될 수 있다. 그린 콤팩트 (green compact) 는 소정 부품의 형상으로 형성되는 것이 바람직하다. 바람직한 실시형태에서, 산화이트륨-함유 코팅 (80) 은 즉, 용사 단계 이전에 부분적인 또는 전체적인 기계적 강도를 향상시키기 위해 세라믹 재료를 부분적으로 또는 전체적으로 소결하지 않으며, 그린 보디의 하나 이상의 표면상에 용사된다.
다른 바람직한 실시형태는 기판의 하나 이상의 표면상에 산화이트륨-함유 코팅 (80) 을 용사하는 단계 이전에 기판 (70) 을 부분적으로 소결하는 단계를 포함한다. 예를 들어, 기판은 약 800℃ 에서 약 1600℃ 의 온도에서 부분적으로 소결될 수 있다. 소결 시간은 부품 크기 및 형상 등의 인자와 예를 들어, 전체 밀도의 약 60% 에서 약 80% 를 소결함으로써 달성되는 소정 밀도 레벨에 따라서 변화할 수 있다. 예를 들어, 소결 시간은 기판상에서 산화이트륨-함유 코팅을 용사하는 단계 이전에 부품에서 부분적인 기계적 강도를 달성하기 위해서 약 1 시간 내지 수 일의 범위일 수 있다. 기판을 형성하고 밀도를 높이기 위해 이용될 수 있는 예시적인 세라믹 프로세싱 기술의 상세한 설명이 예를 들어, 그 전체가 참조로서 본 명세서에 포함되는 Engineered Materials Handbook, 제 4 권, 제 3 및 4 절, 123-304 쪽 (ASM 인터내셔널, 1991) 에 설명된다.
기판 (70) 상에 도포되는 산화이트륨-함유 코팅 (80) 뿐만 아니라 이하 설명되는 제 1 의 도포된 산화이트륨-함유 코팅 (80) 위에 도포될 수 있는 하나 이상의 추가적인 산화이트륨-함유 코팅은 표면상에 산화이트륨만을 용사함으로써 형성되는 것이 바람직하다. 또한, 부품의 산화이트륨-함유 코팅은 La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb 및 Lu 중 하나 이상의 산화물, 탄화물, 질화물, 붕소화물 및/또는 탄질화물 (carbonitride) 과 같은 다양한 화합물을 포함할 수 있다. 하나 이상의 산화이트륨-코팅 세라믹 부품을 포함하는 플라즈마 프로세싱 장치에서 프로세스되는 기판의 오염을 최소화하도록 하기 위해서, 산화이트륨-함유 코팅은 높은 순도인 것이 바람직하다. 예를 들어, 산화이트륨-함유 코팅은 반도체 웨이퍼와 같은 기판을 잠재적으로 오염시킬 수 있는 성분을 최소량 함유하는 것이 바람직하다. 이러한 성분은 전이 금속, 알칼리 금속 등을 포함한다. 바람직한 실시형태에서, 산화이트륨-함유 코팅은 1010 atoms/cm2 이상의 웨이퍼상의 오염, 바람직하게는 105 atoms/cm2 이상의 웨이퍼상의 오염을 방지하기 위해 충분히 순수할 수 있다. 산화이트륨-함유 재료는 적어도 약 99% 이상의 순도를 가지는 것이 바람직하고, 약 99.95% 내지 약 100% 의 순도를 가지는 것이 더욱 바람직하다.
기판 (70) 및 기판상에 도포되는 산화이트륨-함유 코팅 (80) 은 기판 (70) 과 산화이트륨-함유 코팅 (80) 사이의 계면에 본드층 (74) 을 생성하고 기판을 전체적으로 소결시키기 위해 효과적인 조건하에서 공동 소결되는 것이 바람직하다. 본드층 (74) 은 도포되는 산화이트륨-함유 코팅 (80) 의 기판 (70) 에 대한 강화된 접착강도를 제공한다. 공동 소결 단계는 최대 약 7 일의 기간 동안 약 800℃ 내지 약 1500℃ 의 온도에서 수행되는 것이 바람직하다. 공동 소결은 진공 환경 또는 불활성 가스 환경에서 수행될 수 있다. 기판 (70) 과 산화이트륨-함유 코팅 (80) 사이에 형성된 본드층 (74) 의 구성 및 구조는 기판의 세라믹 재료에 의존한다. 예를 들어, 기판이 알루미나인 실시형태에서, 본드층은 3Y2O3-5A12O3 및/또는 Y2O3-A12O3 과 같은 산화이트륨 및 알루미나를 포함하는 다상 산화물 구조를 포함할 수 있다. 기판이 지르코니아인 실시형태에서는, 본드층은 예를 들어, Y2Zr2O7 을 포함할 수 있다.
공동 소결 프로세스는 미세 분열이 실질적으로 없는 산화이트륨-함유 코팅 (80) 상에 표면 마감 (finish) 을 제공할 수 있는데, 이는 플라즈마 프로세싱 동안 플라즈마 프로세싱 장치의 부품들이 처하게 되는 열 주기 피로로 인해 입자 생성을 야기할 수 있다.
그러나, 기판 (70) 상에 도포되어 있는 소결된 산화이트륨-함유 코팅 (80) 은 이 코팅의 노출된 외부 표면 (82) 에 부착된 산화이트륨 입자를 포함할 수 있다. 이러한 산화이트륨 입자는 플라즈마 프로세싱 동안에 플라즈마에 노출함으로써 잠재적으로 제거되어, 결과적으로 기판을 오염시킬 수 있다. 바람직한 실시형태에서, 공동 소결된 부품의 기판 (70) 상에 형성된 산화이트륨-함유 코팅 (80) 의 노출된 표면 (82) 은 노출된 표면에 부착된 산화이트륨의 부착된 입자를 감소시키기 위해 플라즈마 컨디셔닝 처리를 행함으로써 처리된다. 노출된 표면 (82) 에서 이러한 부착된 산화이트륨 입자를 제거하기 위한 적절한 플라즈마 컨디셔닝 처리는, 본 명세서에서 그 전체가 참조로서 포함되어 있는 공동 계류 중인 미국 특허출원 제 09/607,922 호에 개시되어 있다. 반도체 재료 프로세싱 장치의 플라즈마 프로세싱 챔버 내에 부품 (65) 을 설치하고, 챔버 내에 존재하는 부품 (65) 을 이용한 임의의 제조 웨이퍼 프로세싱 전에 노출된 산화이트륨-함유 표면 (82) 으로부터의 산화이트륨 입자를 제거하기 위해 부품을 플라즈마 컨디셔닝함으로써 플라즈마 컨디셔닝이 수행될 수 있다.
산화이트륨-코팅 세라믹 부품 (165) 의 다른 바람직한 실시형태가 도 2 에 도시된다. 이 실시형태에서, 산화이트륨-코팅 기판 (70) 의 노출된 표면 (82) 상의 산화이트륨 입자는 기판 (70) 상에 산화이트륨-함유 코팅 (80) 상에서 하나 이상의 추가적인 산화이트륨-함유 코팅 (90) 을 용사함으로써 커버된다. 외부 산화이트륨-함유 코팅 (90) 은 아래에 있는 산화이트륨-함유 코팅 (80) 의 노출된 표면 (82) 상의 산화이트륨 입자를 커버하기에 충분한 두께를 가져서, 부품 (165) 이 플라즈마 챔버 내에 설치될 때, 산화이트륨 입자는 플라즈마에 노출되지 않는다.
플라즈마 분사는 기판 (70) 상에 산화이트륨-함유 코팅 (80) 뿐만 아니라 추가적인 상부의 산화이트륨-함유 코팅 (예를 들어, 코팅 (90)) 을 도포하기 위한 바람직한 용사 기술이다. 플라즈마 분사 기술은 예를 들어, 본 명세서에서 그 전체가 참조로서 포함되어 있는 L, Pawlowski 에서의, The Science and Engineering of Thermal Spray Coatings (John Wiley & Sons, 1996) 에 개시되어 있다.
용사된 산화이트륨-함유 코팅은 약 0.001 인치 내지 약 1 인치의 분사 두께를 가지고, 약 0.001 인치 내지 약 0.5 인치의 두께를 가지는 것이 바람직하며, 약 0.001 인치 내지 약 0.01 인치를 가지는 것이 더욱 바람직하다. 산화이트륨-함유 코팅의 두께는 직면하는 (예를 들어, 에칭, 성막, 또는 레지스트 박리) 플라즈마 환경과 호환가능하도록 선택될 수 있다.
용사된 산화이트륨-함유 코팅 (80) 은 기판 표면 (72) 을 사전에 거칠게 하거나 또는 하지 않고 코팅의 부착성을 증진시키기 위한 중간 코팅 없이 기판 (70) 상에 직접 형성될 수 있다. 바람직한 실시형태에서, 산화이트륨-함유 코팅 (80) 은 기판을 사전에 거칠게 하는 단계 및/또는 중간층을 형성하는 단계 없이 기판 (70) 에 적절한 부착성을 제공하여, 추가적인 프로세스 단계들을 미연에 방지한다. 산화이트륨-함유 코팅 (80) 은 하부 기판 (70) 에 대한 높은 접착강도를 가진다. 산화이트륨-함유 코팅은 약 200 ksi 내지 약 400 ksi 의 인장 접착강도를 가지는 것이 바람직하다.
용사된 산화이트륨-함유 코팅은 소정 표면 거칠기 특성으로 형성될 수 있고, 부드러운 것에서부터 거친 것까지의 표면 거칠기를 가질 수 있다. 거친 산화이트륨-함유 표면은 플라즈마 에칭 프로세스, 예를 들어, 금속 에칭 프로세스 동안에 폴리머 형성종 (예를 들어, 탄화플루오르 (fluorocarbons)) 을 이용함으로써 생기는 폴리머 증착물과 같은 증착물의 부착성을 개선하기에 효과적일 수 있다. 본 명세서에서, 그 전체가 참조로서 포함되어 있는, 공동 계류 중인 미국 특허출원번호 제 09/749,917 호에 설명된 바와 같이, 이러한 폴리머 증착물은 에칭 프로세스 동안 챔버 표면을 벗겨낼 수 있고, 챔버 내부에서 기판을 오염시킬 수 있다. 반복되는 플라즈마 프로세싱 사이클 동안에 발생하는 열 주기는 이러한 문제를 더욱 악화시킬 수 있다.
용사된 산화이트륨-함유 코팅은 약 5 내지 약 500 마이크로-인치의 범위를 가지는 수리적 수단 표면 거칠기 (Ra) 값을 가질 수 있고, 이는 약 120 내지 약 250 마이크로-인치의 범위를 가지는 것이 바람직하다. 이러한 바람직한 범위에서 표면 거칠기 값은 플라즈마 에칭 프로세스 동안 반응 챔버의 내부 표면상에 증착되는 폴리머의 부착을 강화할 수 있어서, 폴리머 증착물에 의한 프로세스된 기판의 오염의 발생을 감소시킨다.
산화이트륨-함유 코팅은 낮은 다공성 레벨을 가질 수 있어서, 하부 기판이 공격적인 환경과 접촉하는 것을 최소화하고, 이에 따라, 이러한 공격적인 환경에 의한 기판의 부식, 침식 및/또는 부식-침식으로 인한 그 후의 물리적 및/또는 화학적 공격을 최소화할 수 있다. 산화이트륨-함유 코팅은 20 부피% 미만의 다공성을 가지는 것이 바람직하며, 5 부피% 미만의 다공성을 가지는 것이 더욱 바람직하다.
또한, 산화이트륨-함유 코팅은 침식에 저항하기 위한 충분한 강도를 가질 수 있다.
산화이트륨-함유 코팅은 약 10% 내지 약 100% 체적인 결정 구조를 가지는 것이 바람직하고, 약 95% 내지 약 100% 체적의 결정 구조를 가지는 것이 더욱 바람직하다.
산화이트륨-함유 코팅은 예를 들어, 플라즈마 에칭 챔버와 같은 반도체 프로 세싱 장치에서 이용되기에 바람직한 내마모 특성을 제공할 수 있다. 특히, 산화이트륨-함유 코팅은 플라즈마 반응기 챔버내에서 이온 유도된 침식 및 이와 관련된 미립자 오염의 레벨을 감소시킬 수 있는 표면을 제공한다. 또한, 산화이트륨-함유 코팅은 플라즈마에 의한 물리적 공격 및 화학적 공격에 대항하여 하부 기판을 보호할 수 있다.
산화이트륨-코팅 세라믹 부품은 에칭, 증착, 레지스트 박리, 및 다른 응용에 대해 다양한 플라즈마 환경에서 이용될 수 있다. 통상적인 에칭 화학물질은 예를 들어, Cl2, HCl 및 BCl3 를 포함하지만 이에 한정되지 않는 가스를 함유하는 염소 (chlorine); Br2 및 HBr 을 포함하지만 이에 한정되지 않는 가스를 함유하는 브롬 (bromine); O2, H2O 및 SO2 를 포함하지만 이에 한정되지 않는 가스를 함유하는 산소; CF4, CH2F2, NF3, CH3F, C2F6, CHF3 및 SF6 를 포함하지만 이에 한정되지 않는 가스를 함유하는 플루오르 (fluorine); 및 He, Ar 및 N2 를 포함하지만 이에 한정되지 않는 비활성 가스 및 다른 가스를 포함할 수도 있다. 이러한 가스 및 다른 가스는 소망하는 플라즈마에 의존하여 임의의 적절한 조합에 이용될 수 있다. 다양한 프로세스 가스의 적절한 흐름 속도는 플라즈마 반응기의 유형, 전력 설정, 챔버 압력, 플라즈마 해리 속도, 에칭 화학물, 에칭되는 재료, 및 내부에서 프로세스 가스가 이용되는 에칭 프로세스의 특정 단계를 포함하지만 이에 한정되지 않는 요인들에 기초하여 선택될 수 있다.
산화이트륨-코팅 세라믹 부품은 반도체 플라즈마 에칭 프로세스에서 규소-함유 및 금속-함유 재료를 에칭하는 반도체 프로세싱 장비의 에칭 챔버에 이용될 수 있다. 예를 들어, 이러한 에칭 챔버에서 에칭될 수 있는 규소-함유 재료는 단-결정 규소, 다결정 규소, 비정질 규소, 질화규소, 규소 산질화물, 규소화합물, 규소 이산화물, 로우-k 재료, 및 하이-k 재료를 포함하지만 이에 한정되지 않는다. 규소-함유 재료는 도핑되거나 또는 도핑되지 않을 수 있고 및/또는 어닐링 되거나 또는 어닐링되지 않을 수 있다. 또한, 스트레인드 실리콘 (strained Si) 이 이용될 수 있다.
에칭될 수 있는 전도성 또는 반전도성 금속-함유 재료는 알루미늄, 알루미늄 합금 (aluminum alloy), 구리 (copper), 구리 합금, 텅스텐 (tungsten), 텅스텐 합금, 티타늄 (titanium), 티타늄 합금, 탄탈 (tantalum), 탄탈 합금, 백금 (platinum), 백금 합금, 루테늄 (ruthenium), 루테늄 합금, 크롬 (chrome), 크롬 합금, 철 (iron), 철 합금, 니켈 (nickel), 니켈 합금, 코발트 (cobalt), 코발트 합금, 몰리브덴 (molybdenum), 몰리브덴 합금과 티타늄, 텅스텐, 크롬, 코발트 및/또는 몰리브덴의 규소화합물, 플레티나 규소화합물 및 루테늄 산화물과 같은 강유전체 재료, 및 탄탈륨 질화물, 크롬 규소화합물 및 NiFeCo 합금과 같은 GMR 재료를 포함하지만 이에 한정되지는 않는다.
산화이트륨-코팅 세라믹 부품은 예를 들어, 폴리실리콘 고밀도 플라즈마 반응기에서 반응기 부품으로서 이용될 수 있다. 이러한 유형의 예시적인 반응기는 캘리포니아 프레몬트 소재의 Lam Research Corporation 에서 제조된 TCP 9400TM 플라즈마 에칭 반응기이다. 이 반응기에서, 프로세싱 가스 (예를 들어, Cl2, HBr, CF4, CH2F2, O2, N2, Ar, SF6 및 NF3) 는 에칭 챔버의 바닥에 위치한 가스 링으로 공급되고, 다음으로 가스 홀을 통하여 반응기 챔버로 흐른다. 도 3 은 TCP 9400TM 폴리실리콘 에칭 반응기에 대한 가스 링의 단면도를 나타낸다. 도 3 에 도시된 바와 같이, 가스 링 (40) 의 주 보디는 기판 지지부 (44) 를 둘러싼다. 가스 링 (40) 의 바닥 표면은 링-형상 가스-안내 트렌치 (60) 를 포함한다. 가스 홀 (50) 은 가스-안내 트렌치 (60) 내부로 연장된다. 고밀도 플라즈마는 유전체 차폐부를 통해 코일로부터 챔버의 내부로 RF 에너지를 유도적으로 연결함으로써 생성된다.
통상적으로, 가스 링 (40) 은 알루미늄으로 이루어진다. 가스 링의 상부 표면은 플라즈마에 직접 노출되어 침식된다. 이 표면을 보호하기 위해, 가스 링은 노출된 산화이트륨-함유 코팅 (42) 을 포함하는 산화이트륨-코팅 세라믹 부품일 수 있다. 또한, 프로세싱 동안 플라즈마에 노출되는 이러한 폴리실리콘 에칭 반응기의 다른 부품은 산화이트륨-코팅 세라믹 부품일 수 있다. 이러한 부품은 챔버 벽, 챔버 라이너, 척 디바이스, 및 유전체 윈도우를 포함한다.
다른 예시적인 폴리실리콘 에칭 반응기는 캘리포니아 프레몬트 소재의 Lam Research Corporation 에서 제조된 VersysTM Polysilicon Etcher 또는 2300TM 에칭장치이다. 도 4 는 그 상부에 탑재될 때 기판에 고정력 (clamping force) 을 제공하는 정전척 (154) 을 가지는 기판 지지부 (152) 를 포함하는 2300TM 폴리실리콘 에칭 반응기의 단면도를 나타낸다. 플라즈마 포커스 링 (170) 은 정전척 (154) 주위의 기판 지지부 (152) 상에 탑재되어 있는 것으로 도시되어 있다. 또한, 기판 지지부 (152) 는 기판에 RF 바이어스를 인가하는데 이용될 수 있다. 기판은 열 전달 가스를 이용하여 냉각될 수 있다. 2300TM 에칭장치에서, 프로세싱 가스 (예를 들어, Cl2, HBr, CF4, CH2F2, O2, N2, Ar, SF6 및 NF3) 는 가스 피드 (156; gas feed) 에 연결되는 가스 주입기 (168) 를 통해 챔버 (150) 로 도입된다. 통상적으로, 가스 주입기 (168) 는 석영 또는 알루미나와 같은 세라믹 재료로 이루어진다. 도시된 바와 같이, 유도 코일 (158) 은 고밀도 플라즈마를 제공하기 위해 적절한 RF 소오스에 의해 전원이 공급될 수 있다. 유도 코일 (158) 은 유전체 윈도우 (160) 을 통해 RF 에너지를 챔버 (150) 의 내부로 연결한다. 통상적으로, 유전체 윈도우 (160) 는 석영 또는 알루미나로 이루어진다. 유전체 윈도우 (160) 는, 챔버 (150) 의 상부로부터 유전체 윈도우 (160) 를 이격시키고 가스 분배판이라 일컫는 고리모양 부재 (162; annular member) 상에 탑재되어 있는 것으로 도시되어 있다. 챔버 라이너 (164) 는 기판 지지부 (152) 를 둘러싼다. 또한, 챔버 (150) 는 원하는 압력에서 챔버의 내부를 유지하기 위해 적절한 진공 펌핑 장치를 포함할 수 있다.
도 3 에 도시된 예시적인 실시형태에서, 어떤 반응기 부품 예를 들어, 고리모양 부재 (162), 유전체 윈도우 (160), 기판 지지부 (152), 챔버 라이너 (164), 가스 주입기 (168), 포커스 링 (170), 및/또는 정전척 (154) 은 산화이트륨-코팅 세라믹 부품일 수 있고 산화이트륨-함유 코팅 (166) 을 노출한다. 또한, 도 4 에 도시된 바와 같이, 챔버 (150) 의 벽 및 챔버 라이너 (164) 하부의 기판 지지부 (152) 는 산화이트륨-코팅 세라믹 부품일 수 있다. 임의의 또는 모든 이러한 부품, 뿐만 아니라 임의의 다른 플라즈마-노출된 부품은 산화이트륨-코팅 세라믹 부품일 수 있다.
또한, 반응기 부품은 고밀도 산화물 에칭 프로세스에 이용될 수 있다. 예시적인 산화 에칭 반응기는 캘리포니아 프레몬트 소재의 Lam Research Corporation 에서 제조한 TCP 9100TM 플라즈마 에칭 반응기이다. TCP 9100TM 반응기에서, 가스 분배판은 TCPTM 윈도우 바로 하부에 위치된 원형판이며, 또한 프로세싱되는 기판에 평행한 상부의 평면의 반응기의 상부에서의 진공 밀봉 표면 (vacuum sealing surface) 이다. 가스 분배 링은 가스 분배판, RF 에너지를 반응기로 공급하는 플랫 나선형 코일 (flat spiral coil) 의 형태의 안테나 하부 윈도우의 내부 표면, 및 가스 분배 링에 의해 정의된 공간으로 소오스로부터의 가스를 공급한다. 가스 분배판은 판을 통해 연장되는 홀을 포함한다. 가스 분배판 재료는 이러한 RF 전력을 가스 분배판을 통해 반응기로 연결하게 하기 위한 유전체이다. 또한, 가스 분배판의 재료는 파손과, 그와 연관된 결과적인 입자 생성을 방지하기 위해서 산소 또는 하이드로-플루오로카본 가스 플라즈마와 같은 환경에서 화학적 스퍼터-에칭에 대해 내성이 큰 것이 바람직하다.
도 5 는 이러한 유형의 플라즈마 반응기를 도시한다. 반응기는 기판 (13) 에 대해 고정력과 RF 바이어스를 제공하는 정전척 (34) 을 가지는 기판 홀더 (12) 를 포함하는 플라즈마 챔버 (10) 를 포함한다. 기판은 열 전달 가스를 이용하여 후면 냉각될 수 있다. 포커스 링 (14) 은 기판 위의 영역에 플라즈마를 한정한다. 고밀도 플라즈마를 제공하기 위해 적절한 RF 소오스에 의해 전력이 공급된, 안테나 (18) 와 같은 챔버내의 고밀도 (예를 들어, 1011 - 1012 이온/cm3) 플라즈마를 유지하기 위한 에너지 소오스는 플라즈마 챔버 (10) 의 상부에 배치된다. 챔버는 소정 압력 (예를 들어, 50 mTorr 이하, 통상적으로, 1 내지 20 mTorr) 으로 챔버의 내부를 유지하기 위한 적절한 진공 펌핑 장치 (vacuum pumping apparatus) 를 포함한다.
실질적으로 평면인 유전체 윈도우 (20) 는 안테나 (18) 와 플라즈마 프로세싱 챔버 (10) 의 내부 사이에 제공되고 프로세싱 챔버 (10) 의 상부에 진공벽을 형성한다. 가스 분배판 (22) 은 윈도우 (20) 바로 밑에 있고 프로세스 가스를 가스 공급부 (23) 에서 챔버 (10) 로 전달하기 위한 개구 (opening) 를 포함한다. 원뿔 또는 원통모양의 라이너 (30) 는 가스 분배판으로부터 연장되고 기판 홀더 (12) 를 둘러싼다. 안테나 (18) 에는 선택적으로 채널 (24) 에 제공될 수 있고, 이 채널 (24) 을 통해 열 전달 유체가 유입 및 유출 도관 (25, 26) 을 경유하여 순환된다.
동작에서, 실리콘 웨이퍼와 같은 반도체 기판은 기판 홀더 (12) 상에 위치되 고 정전척 (34) 의 가까이에 위치된다. 프로세스 가스가 진공 프로세스 챔버 (10) 에 공급되고, RF 전력을 안테나 (18) 에 공급함으로써 기판과 윈도우 (20) 사이의 공간에 고밀도 플라즈마가 생성된다.
도 5 에 도시된 장치에서, 가스 분배판 (22), 챔버 라이너 (30), 정전척 (34), 및 포커스 링 (14) 과 같은 각종 반응기 부품은 노출된 산화이트륨-함유 코팅 (32) 을 가지는 산화이트륨-코팅 세라믹 부품일 수 있다.
전술한 고밀도 폴리실리콘 및 유전체 에칭 챔버는 산화이트륨-코팅 세라믹 부품을 통합할 수 있는 플라즈마 에칭 반응기의 예일 뿐이다. 부품은 임의의 에칭 반응기 (예를 들어, 금속 에칭 반응기) 또는 플라즈마 침식이 문제가 되는 다른 유형의 반도체 프로세싱 장비에 이용될 수 있다.
다른 산화이트륨-코팅 세라믹 부품은 플라즈마에 통상적으로 노출되는 챔버 벽, 기판 홀더, 패스너 등일 수 있다. 다른 부품은 플라즈마에 직접적으로 노출되지 않을 수도 있으나, 프로세스된 웨이퍼 등으로부터 방출된 가스와 같은 부식성 가스에 노출된다. 또한, 반도체 기판의 프로세싱에 이용된 다른 장비는 산화이트륨-코팅 세라믹 부품일 수 있다. 이러한 장비는 수송 기구, 가스 공급 시스템, 라이너, 리프트 메카니즘, 로드락 (load lock), 도어 메카니즘, 로보트 팔, 패스너 등을 포함할 수 있다.
본 발명이 그 상세한 실시형태들을 참조하여 설명되었지만, 다양한 변화와 변형이 이루어질 수 있고, 첨부된 청구항의 범위로부터 벗어나지 않고 동등하게 이용될 수 있다는 것은 당업자에게는 자명하다.
Claims (30)
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 반도체 재료 프로세싱 장치의 산화이트륨-코팅 세라믹 부품으로서,세라믹 재료를 포함하는 기판;상기 기판의 하나 이상의 표면상의 용사된 제 1 산화이트륨-함유 코팅; 및상기 기판과 상기 제 1 산화이트륨-함유 코팅사이의 계면에서 상기 세라믹 재료와 산화이트륨을 포함하는 다상 산화물을 포함하는 본드층으로서, 상기 기판과 상기 용사된 제 1 산화이트륨-함유 코팅을 공동 소결시킴으로써 형성되는 상기 본드층을 포함하고,(ⅰ) 상기 제 1 산화이트륨-함유 코팅은, 상기 공동 소결 후에 그 노출된 표면에 부착된 산화이트륨의 입자를 감소시키기 위해 플라즈마 컨디셔닝 처리에 의해 처리되는 노출된 표면을 포함하고, 또는 (ⅱ) 상기 산화이트륨-코팅 세라믹 부품은 상기 소결된 제 1 산화이트륨-함유 코팅상에 용사되어 상기 노출된 표면에 부착된 상기 산화이트륨의 입자를 커버하는 제 2 산화이트륨-함유 코팅을 더 포함하고,상기 (ⅰ) 의 경우, 상기 제 1 산화이트륨-함유 코팅은 20% 미만의 다공성, 95% 내지 100% 체적의 결정 구조, 및 200 ksi 내지 400 ksi 의 접착강도를 가지며; 및상기 (ⅱ) 의 경우, 상기 제 1 산화이트륨-함유 코팅 및 상기 제 2 산화이트륨-함유 코팅은 20% 미만의 다공성, 95% 내지 100% 체적의 결정 구조, 및 200 ksi 내지 400 ksi 의 접착강도를 가지는, 산화이트륨-코팅 세라믹 부품.
- 삭제
- 제 7 항에 있어서,상기 산화이트륨-코팅 세라믹 부품은 유전체 윈도우, 챔버 벽, 챔버 라이너, 기판 지지부, 배플, 가스 분배판, 플라즈마 한정 링, 노즐, 패스너, 발열체, 플라즈마 포커스 링, 척, 및 플라즈마 스크린으로 구성된 그룹으로부터 선택되고, 및상기 반도체 재료 프로세싱 장치는 플라즈마 에칭장치를 포함하는, 산화이트륨-코팅 세라믹 부품.
- 제 7 항에 있어서,상기 기판은 알루미나, 석영, 지르코니아, 탄화규소, 질화규소, 탄화붕소, 질화붕소, 질화 알루미늄, 및 그 혼합물로 구성된 그룹으로부터 선택되는 세라믹 재료를 포함하는, 산화이트륨-코팅 세라믹 부품.
- 제 7 항에 있어서,상기 산화이트륨-코팅 세라믹 부품은 제 2 산화이트륨-함유 코팅을 포함하고,상기 제 1 산화이트륨-함유 코팅 및 상기 제 2 산화이트륨-함유 코팅은 La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb 및 Lu 로 이루어진 그룹으로부터 선택되는 하나 이상의 원소의 산화물, 탄화물, 질화물, 붕소화물 및 탄질화물 중 하나 이상을 포함하는, 산화이트륨-코팅 세라믹 부품.
- 제 7 항에 있어서,상기 산화이트륨-코팅 세라믹 부품은 제 2 산화이트륨-함유 코팅을 포함하고,상기 제 1 산화이트륨-함유 코팅 및 상기 제 2 산화이트륨-함유 코팅은 상기 기판의 전체적인 외부 표면상에 배치되는, 산화이트륨-코팅 세라믹 부품.
- 제 7 항에 있어서,상기 산화이트륨-코팅 세라믹 부품은 제 2 산화이트륨-함유 코팅을 포함하고,상기 제 1 산화이트륨-함유 코팅 및 상기 제 2 산화이트륨-함유 코팅은 99% 이상의 순도를 가지는, 산화이트륨-코팅 세라믹 부품.
- 제 7 항에 있어서,상기 산화이트륨-코팅 세라믹 부품은 제 2 산화이트륨-함유 코팅을 포함하고,상기 제 2 산화이트륨-함유 코팅은 노출된 표면상에 증착하는 폴리머 입자의 부착성을 강화하는데 효과적인 거칠기 (roughness) 를 가지는 상기 노출된 표면을 포함하는, 산화이트륨-코팅 세라믹 부품.
- 제 7 항에 있어서,상기 기판은 알루미나를 포함하고;상기 제 1 산화이트륨-함유 코팅은 99% 이상의 순도를 가지며;상기 본드층은 이트륨 알루미늄 가닛 (garnet) 을 포함하고;상기 산화이트륨-코팅 세라믹 부품은, 99% 이상의 순도를 가지는 제 2 산화이트륨-함유 코팅을 포함하는, 산화이트륨-코팅 세라믹 부품.
- 제 7 항에 기재된 하나 이상의 산화이트륨-코팅 세라믹 부품을 포함하는, 반도체 재료 프로세싱 장치.
- 반도체 재료 프로세싱 장치에서 반도체 재료를 프로세싱하는 방법으로서,제 7 항에 기재된 하나 이상의 산화이트륨-코팅 세라믹 부품을 포함하는 반도체 재료 프로세싱 장치의 플라즈마 챔버에서 플라즈마를 이용하여 반도체 재료를 프로세싱하는 단계를 포함하는, 반도체 재료의 프로세싱 방법.
- 제 17 항에 있어서,상기 프로세싱하는 단계는, 상기 플라즈마 챔버에서 폴리실리콘 반도체 재료를 플라즈마 에칭하는 단계를 포함하는, 반도체 재료의 프로세싱 방법.
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 제 7 항에 기재된 산화이트륨-코팅 세라믹 부품을 제조하는 방법으로서,상기 세라믹 재료를 포함하는 상기 기판을 부분적으로 소결하는 단계;상기 기판의 하나 이상의 표면상에 상기 제 1 산화이트륨-함유 코팅을 용사하는 단계;상기 기판과 상기 제 1 산화이트륨-함유 코팅사이의 상기 계면에서 상기 세라믹 재료와 산화이트륨의 다상 산화물을 포함하는 상기 본드층을 형성하기 위해 상기 용사된 제 1 산화이트륨-함유 코팅과 상기 기판을 공동 소결하는 단계; 및(ⅰ) 상기 공동 소결하는 단계 후에, 상기 제 1 산화이트륨-함유 코팅의 상기 노출된 표면에 부착된 산화이트륨의 입자를 감소시키기 위해 플라즈마 컨디셔닝 처리를 함으로써 상기 제 1 산화이트륨-함유 코팅의 상기 노출된 표면을 처리하는 단계, 또는 (ⅱ) 상기 공동 소결하는 단계 후에, 상기 노출된 표면에 부착된 상기 산화이트륨의 입자를 커버하기 위해 상기 소결된 제 1 산화이트륨-함유 코팅상에 상기 제 2 산화이트륨-함유 코팅을 용사하는 단계를 포함하는, 산화이트륨-코팅 세라믹 부품 제조 방법.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/737,917 US7220497B2 (en) | 2003-12-18 | 2003-12-18 | Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components |
US10/737.917 | 2003-12-18 | ||
PCT/US2004/041206 WO2005062758A2 (en) | 2003-12-18 | 2004-12-09 | Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20060132649A KR20060132649A (ko) | 2006-12-21 |
KR101177333B1 true KR101177333B1 (ko) | 2012-08-30 |
Family
ID=34677288
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020067014368A KR101177333B1 (ko) | 2003-12-18 | 2004-12-09 | 반도체 재료 프로세싱 장치의 산화이트륨 (yttria)-코팅 세라믹 부품 및 그 부품을 제조하는 방법 |
Country Status (6)
Country | Link |
---|---|
US (2) | US7220497B2 (ko) |
JP (1) | JP2007516921A (ko) |
KR (1) | KR101177333B1 (ko) |
CN (1) | CN1906026B (ko) |
TW (1) | TWI381415B (ko) |
WO (1) | WO2005062758A2 (ko) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20210027765A (ko) | 2019-09-03 | 2021-03-11 | 한국세라믹기술원 | 이트륨계 세라믹 및 그 제조 방법 |
Families Citing this family (468)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6805952B2 (en) * | 2000-12-29 | 2004-10-19 | Lam Research Corporation | Low contamination plasma chamber components and methods for making the same |
US20080264564A1 (en) | 2007-04-27 | 2008-10-30 | Applied Materials, Inc. | Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas |
US20060226003A1 (en) * | 2003-01-22 | 2006-10-12 | John Mize | Apparatus and methods for ionized deposition of a film or thin layer |
US20050193951A1 (en) * | 2004-03-08 | 2005-09-08 | Muneo Furuse | Plasma processing apparatus |
JP4467453B2 (ja) * | 2004-09-30 | 2010-05-26 | 日本碍子株式会社 | セラミックス部材及びその製造方法 |
JP4666575B2 (ja) * | 2004-11-08 | 2011-04-06 | 東京エレクトロン株式会社 | セラミック溶射部材の製造方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材 |
US9659758B2 (en) * | 2005-03-22 | 2017-05-23 | Honeywell International Inc. | Coils utilized in vapor deposition applications and methods of production |
US20060278520A1 (en) * | 2005-06-13 | 2006-12-14 | Lee Eal H | Use of DC magnetron sputtering systems |
US20090194233A1 (en) * | 2005-06-23 | 2009-08-06 | Tokyo Electron Limited | Component for semicondutor processing apparatus and manufacturing method thereof |
WO2007013184A1 (ja) * | 2005-07-29 | 2007-02-01 | Tocalo Co., Ltd. | Y2o3溶射皮膜被覆部材およびその製造方法 |
US20070032072A1 (en) * | 2005-08-02 | 2007-02-08 | Stmicroelectronics Inc. | Nucleation layer deposition on semiconductor process equipment parts |
JP4796354B2 (ja) * | 2005-08-19 | 2011-10-19 | 日本碍子株式会社 | 静電チャック及びイットリア焼結体の製造方法 |
JP4555864B2 (ja) * | 2005-08-22 | 2010-10-06 | トーカロ株式会社 | 熱放射特性等に優れる溶射皮膜被覆部材およびその製造方法 |
KR101021459B1 (ko) * | 2005-08-22 | 2011-03-15 | 도카로 가부시키가이샤 | 내손상성 등이 우수한 용사 피막 피복 부재 및 그 제조방법 |
JP4571561B2 (ja) * | 2005-09-08 | 2010-10-27 | トーカロ株式会社 | 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法 |
US7850779B2 (en) | 2005-11-04 | 2010-12-14 | Applied Materisals, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US7648782B2 (en) * | 2006-03-20 | 2010-01-19 | Tokyo Electron Limited | Ceramic coating member for semiconductor processing apparatus |
US7850864B2 (en) * | 2006-03-20 | 2010-12-14 | Tokyo Electron Limited | Plasma treating apparatus and plasma treating method |
JPWO2008032627A1 (ja) * | 2006-09-11 | 2010-01-21 | 株式会社アルバック | ドライエッチング方法 |
JP2008103403A (ja) * | 2006-10-17 | 2008-05-01 | Tokyo Electron Ltd | 基板載置台及びプラズマ処理装置 |
US8002946B2 (en) * | 2006-10-30 | 2011-08-23 | Applied Materials, Inc. | Mask etch plasma reactor with cathode providing a uniform distribution of etch rate |
US7976671B2 (en) * | 2006-10-30 | 2011-07-12 | Applied Materials, Inc. | Mask etch plasma reactor with variable process gas distribution |
US8017029B2 (en) * | 2006-10-30 | 2011-09-13 | Applied Materials, Inc. | Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside |
US20080099437A1 (en) * | 2006-10-30 | 2008-05-01 | Richard Lewington | Plasma reactor for processing a transparent workpiece with backside process endpoint detection |
US7967930B2 (en) * | 2006-10-30 | 2011-06-28 | Applied Materials, Inc. | Plasma reactor for processing a workpiece and having a tunable cathode |
US9218944B2 (en) | 2006-10-30 | 2015-12-22 | Applied Materials, Inc. | Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors |
US7919722B2 (en) * | 2006-10-30 | 2011-04-05 | Applied Materials, Inc. | Method for fabricating plasma reactor parts |
US8012366B2 (en) * | 2006-10-30 | 2011-09-06 | Applied Materials, Inc. | Process for etching a transparent workpiece including backside endpoint detection steps |
US20080106842A1 (en) * | 2006-11-06 | 2008-05-08 | Tokyo Electron Limited | Mounting device, plasma processing apparatus and plasma processing method |
US8097105B2 (en) * | 2007-01-11 | 2012-01-17 | Lam Research Corporation | Extending lifetime of yttrium oxide as a plasma chamber material |
US20080233403A1 (en) * | 2007-02-07 | 2008-09-25 | Timothy Dyer | Method of Making Ceramic Reactor Components and Ceramic Reactor Component Made Therefrom |
US7718559B2 (en) * | 2007-04-20 | 2010-05-18 | Applied Materials, Inc. | Erosion resistance enhanced quartz used in plasma etch chamber |
US7696117B2 (en) * | 2007-04-27 | 2010-04-13 | Applied Materials, Inc. | Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas |
US10622194B2 (en) | 2007-04-27 | 2020-04-14 | Applied Materials, Inc. | Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance |
US10242888B2 (en) | 2007-04-27 | 2019-03-26 | Applied Materials, Inc. | Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance |
TWI562205B (en) * | 2007-04-27 | 2016-12-11 | Applied Materials Inc | Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas |
US8367227B2 (en) * | 2007-08-02 | 2013-02-05 | Applied Materials, Inc. | Plasma-resistant ceramics with controlled electrical resistivity |
SG187387A1 (en) | 2007-12-19 | 2013-02-28 | Lam Res Corp | Film adhesive for semiconductor vacuum processing apparatus |
WO2009085163A1 (en) | 2007-12-19 | 2009-07-09 | Lam Research Corporation | A composite showerhead electrode assembly for a plasma processing apparatus |
US20090194414A1 (en) * | 2008-01-31 | 2009-08-06 | Nolander Ira G | Modified sputtering target and deposition components, methods of production and uses thereof |
CN101521143B (zh) * | 2008-02-25 | 2012-12-26 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 一种用于半导体工艺设备的衬套机构及其制造方法 |
JP2009212293A (ja) * | 2008-03-04 | 2009-09-17 | Tokyo Electron Ltd | 基板処理装置用の部品及び基板処理装置 |
US9078336B2 (en) | 2008-03-05 | 2015-07-07 | Emd Corporation | Radio-frequency antenna unit and plasma processing apparatus |
JP5067279B2 (ja) * | 2008-06-25 | 2012-11-07 | 東京エレクトロン株式会社 | 処理装置 |
KR100966132B1 (ko) * | 2008-07-25 | 2010-06-25 | 주식회사 코미코 | 내 플라즈마성 갖는 세라믹 코팅체 |
US8206829B2 (en) * | 2008-11-10 | 2012-06-26 | Applied Materials, Inc. | Plasma resistant coatings for plasma chamber components |
US20100186663A1 (en) * | 2009-01-23 | 2010-07-29 | Applied Materials, Inc. | Methods and apparatus for protecting a substrate support in a semiconductor process chamber |
KR200475462Y1 (ko) * | 2009-03-27 | 2014-12-03 | 램 리써치 코포레이션 | 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP5457109B2 (ja) | 2009-09-02 | 2014-04-02 | 東京エレクトロン株式会社 | プラズマ処理装置 |
DE212010000009U1 (de) | 2009-09-10 | 2011-05-26 | LAM RESEARCH CORPORATION (Delaware Corporation), California | Auswechselbare obere Kammerteile einer Plasmaverarbeitungsvorrichtung |
WO2011100527A1 (en) * | 2010-02-12 | 2011-08-18 | Morgan Advanced Ceramics, Inc. | Method for texturing ceramic components |
US20110206833A1 (en) * | 2010-02-22 | 2011-08-25 | Lam Research Corporation | Extension electrode of plasma bevel etching apparatus and method of manufacture thereof |
US20110207332A1 (en) * | 2010-02-25 | 2011-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Thin film coated process kits for semiconductor manufacturing tools |
KR101141577B1 (ko) * | 2010-07-07 | 2012-06-08 | (주)세미머티리얼즈 | 태양전지의 플라즈마 텍스처링 장치 및 방법 |
US8430970B2 (en) | 2010-08-09 | 2013-04-30 | Lam Research Corporation | Methods for preventing corrosion of plasma-exposed yttria-coated constituents |
CN102079616B (zh) * | 2010-12-02 | 2012-11-14 | 内蒙古科技大学 | 浮法玻璃澄清脱色方法 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
DE202011002844U1 (de) | 2011-02-17 | 2011-06-09 | LAM RESEARCH CORPORATION (Delaware Corporation), California | Erweiterungselektrode einer Plasmaschrägkantenätzvorrichtung |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
KR101998440B1 (ko) * | 2011-08-10 | 2019-07-09 | 엔테그리스, 아이엔씨. | 선택적 이트리아 상부층을 가지는 AlON 피복 기판 |
US20130102156A1 (en) * | 2011-10-21 | 2013-04-25 | Lam Research Corporation | Components of plasma processing chambers having textured plasma resistant coatings |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
CN102522306A (zh) * | 2011-12-29 | 2012-06-27 | 中微半导体设备(上海)有限公司 | 喷淋头 |
US9034199B2 (en) | 2012-02-21 | 2015-05-19 | Applied Materials, Inc. | Ceramic article with reduced surface defect density and process for producing a ceramic article |
US9212099B2 (en) * | 2012-02-22 | 2015-12-15 | Applied Materials, Inc. | Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics |
CN103484809B (zh) * | 2012-06-14 | 2015-09-02 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 抗腐蚀涂层的制作方法、抗腐蚀涂层、等离子体加工设备 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9343289B2 (en) * | 2012-07-27 | 2016-05-17 | Applied Materials, Inc. | Chemistry compatible coating material for advanced device on-wafer particle performance |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US20140099794A1 (en) * | 2012-09-21 | 2014-04-10 | Applied Materials, Inc. | Radical chemistry modulation and control using multiple flow pathways |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
CN103794459B (zh) * | 2012-10-29 | 2016-04-06 | 中微半导体设备(上海)有限公司 | 用于等离子处理腔室的气体喷淋头及其涂层形成方法 |
US10177014B2 (en) | 2012-12-14 | 2019-01-08 | Applied Materials, Inc. | Thermal radiation barrier for substrate processing chamber components |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9123651B2 (en) | 2013-03-27 | 2015-09-01 | Lam Research Corporation | Dense oxide coated component of a plasma processing chamber and method of manufacture thereof |
US20140315392A1 (en) * | 2013-04-22 | 2014-10-23 | Lam Research Corporation | Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof |
JP6457498B2 (ja) * | 2013-05-23 | 2019-01-23 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 半導体処理チャンバ用の被覆されたライナーアセンブリ |
US9865434B2 (en) | 2013-06-05 | 2018-01-09 | Applied Materials, Inc. | Rare-earth oxide based erosion resistant coatings for semiconductor application |
US9850568B2 (en) | 2013-06-20 | 2017-12-26 | Applied Materials, Inc. | Plasma erosion resistant rare-earth oxide based thin film coatings |
US9583369B2 (en) * | 2013-07-20 | 2017-02-28 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles |
DE102013214563A1 (de) * | 2013-07-25 | 2015-01-29 | Robert Bosch Gmbh | Funktionselement zum Einsatz in Hochtemperaturanwendungen |
US9624593B2 (en) * | 2013-08-29 | 2017-04-18 | Applied Materials, Inc. | Anodization architecture for electro-plate adhesion |
US9440886B2 (en) * | 2013-11-12 | 2016-09-13 | Applied Materials, Inc. | Rare-earth oxide based monolithic chamber material |
US9725799B2 (en) | 2013-12-06 | 2017-08-08 | Applied Materials, Inc. | Ion beam sputtering with ion assisted deposition for coatings on chamber components |
CN103716925B (zh) * | 2014-01-02 | 2016-01-20 | 韩玖町 | 一种面电热元件的无污染型制造方法 |
US9975320B2 (en) | 2014-01-13 | 2018-05-22 | Applied Materials, Inc. | Diffusion bonded plasma resisted chemical vapor deposition (CVD) chamber heater |
KR102353030B1 (ko) | 2014-01-27 | 2022-01-19 | 코닝 인코포레이티드 | 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법 |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
SG11201608442TA (en) | 2014-04-09 | 2016-11-29 | Corning Inc | Device modified substrate article and methods for making |
US9869013B2 (en) * | 2014-04-25 | 2018-01-16 | Applied Materials, Inc. | Ion assisted deposition top coat of rare-earth oxide |
US10730798B2 (en) * | 2014-05-07 | 2020-08-04 | Applied Materials, Inc. | Slurry plasma spray of plasma resistant ceramic coating |
US10385459B2 (en) | 2014-05-16 | 2019-08-20 | Applied Materials, Inc. | Advanced layered bulk ceramics via field assisted sintering technology |
CN105304519A (zh) * | 2014-07-11 | 2016-02-03 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 内衬、内衬的制备方法及反应腔室 |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
KR101658284B1 (ko) * | 2014-08-05 | 2016-09-20 | 주식회사 엘지실트론 | 잉곳성장장치 |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
KR20160030812A (ko) * | 2014-09-11 | 2016-03-21 | 삼성전자주식회사 | 플라즈마 처리 장치 |
JP6544902B2 (ja) * | 2014-09-18 | 2019-07-17 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
JP2017537435A (ja) * | 2014-10-15 | 2017-12-14 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 耐腐食性軽減システム |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
DK178658B1 (da) * | 2015-02-04 | 2016-10-17 | Tresu As | Kammerrakel |
CN105990081B (zh) * | 2015-02-09 | 2018-09-21 | 中微半导体设备(上海)有限公司 | 等离子体处理装置及其制作方法 |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
KR102376982B1 (ko) * | 2015-04-14 | 2022-03-21 | 삼성전자주식회사 | 세라믹을 이용하여 파티클 저감 효과를 가지는 원격 플라즈마 발생장치 |
US9790582B2 (en) | 2015-04-27 | 2017-10-17 | Lam Research Corporation | Long lifetime thermal spray coating for etching or deposition chamber application |
KR102573207B1 (ko) | 2015-05-19 | 2023-08-31 | 코닝 인코포레이티드 | 시트와 캐리어의 결합을 위한 물품 및 방법 |
US20160358749A1 (en) * | 2015-06-04 | 2016-12-08 | Lam Research Corporation | Plasma etching device with plasma etch resistant coating |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
EP3313799B1 (en) | 2015-06-26 | 2022-09-07 | Corning Incorporated | Methods and articles including a sheet and a carrier |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
JP6573820B2 (ja) | 2015-11-09 | 2019-09-11 | 東京エレクトロン株式会社 | プラズマ処理装置用部材及びプラズマ処理装置 |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US11326253B2 (en) | 2016-04-27 | 2022-05-10 | Applied Materials, Inc. | Atomic layer deposition of protective coatings for semiconductor process chamber components |
US11017984B2 (en) * | 2016-04-28 | 2021-05-25 | Applied Materials, Inc. | Ceramic coated quartz lid for processing chamber |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
JP6854600B2 (ja) * | 2016-07-15 | 2021-04-07 | 東京エレクトロン株式会社 | プラズマエッチング方法、プラズマエッチング装置、および基板載置台 |
DE102016009730A1 (de) * | 2016-07-28 | 2018-02-01 | Forschungszentrum Jülich GmbH | Verfahren zur Verstärkung von transparenten Keramiken sowie Keramik |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
TW201825623A (zh) | 2016-08-30 | 2018-07-16 | 美商康寧公司 | 用於片材接合的矽氧烷電漿聚合物 |
TWI810161B (zh) | 2016-08-31 | 2023-08-01 | 美商康寧公司 | 具以可控制式黏結的薄片之製品及製作其之方法 |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US11205795B2 (en) * | 2016-11-21 | 2021-12-21 | Fuelcell Energy, Inc. | Reinforced matrix for molten carbonate fuel cell and method for manufacturing the same |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102195751B1 (ko) * | 2016-12-14 | 2020-12-28 | 가부시키가이샤 아루박 | 성막 장치 및 성막 방법 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10186400B2 (en) | 2017-01-20 | 2019-01-22 | Applied Materials, Inc. | Multi-layer plasma resistant coating by atomic layer deposition |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
WO2018175665A1 (en) * | 2017-03-21 | 2018-09-27 | Component Re-Engineering Company, Inc. | Ceramic material assembly for use in highly corrosive or erosive industrial applications |
CN106887381B (zh) * | 2017-03-27 | 2019-11-22 | 上海华力微电子有限公司 | 一种刻蚀腔体环境稳定性的优化方法 |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
CN111372772A (zh) | 2017-08-18 | 2020-07-03 | 康宁股份有限公司 | 使用聚阳离子聚合物的临时结合 |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10727195B2 (en) * | 2017-09-15 | 2020-07-28 | Technetics Group Llc | Bond materials with enhanced plasma resistant characteristics and associated methods |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US11183373B2 (en) | 2017-10-11 | 2021-11-23 | Honeywell International Inc. | Multi-patterned sputter traps and methods of making |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
JP6967944B2 (ja) * | 2017-11-17 | 2021-11-17 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
JP7431160B2 (ja) * | 2017-12-15 | 2024-02-14 | コーニング インコーポレイテッド | 基板を処理するための方法および結合されたシートを含む物品を製造するための方法 |
KR20200089765A (ko) | 2017-12-18 | 2020-07-27 | 엔테그리스, 아이엔씨. | 원자 층 증착에 의해 도포되는 내화학약품성 다층 코팅 |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11014853B2 (en) | 2018-03-07 | 2021-05-25 | Applied Materials, Inc. | Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US11667575B2 (en) | 2018-07-18 | 2023-06-06 | Applied Materials, Inc. | Erosion resistant metal oxide coatings |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
CN112514044A (zh) * | 2018-07-31 | 2021-03-16 | 朗姆研究公司 | 用于衬底处理系统的具有介电窗的蜂窝式喷射器 |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11562890B2 (en) | 2018-12-06 | 2023-01-24 | Applied Materials, Inc. | Corrosion resistant ground shield of processing chamber |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102616691B1 (ko) * | 2019-02-12 | 2023-12-20 | 어플라이드 머티어리얼스, 인코포레이티드 | 챔버 부품들을 제조하기 위한 방법 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
CN111627790B (zh) * | 2019-02-27 | 2024-05-03 | Toto株式会社 | 半导体制造装置构件、半导体制造装置、显示器制造装置 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN110723964B (zh) * | 2019-09-30 | 2022-04-01 | 江西中材新材料有限公司 | 一种阻隔层、烧结模具及其制备方法 |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR102225604B1 (ko) * | 2019-12-18 | 2021-03-10 | 피에스케이 주식회사 | 기판 처리 장치 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
US20230088848A1 (en) * | 2020-01-23 | 2023-03-23 | Lam Research Corporation | Yttrium aluminum coating for plasma processing chamber components |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR102290498B1 (ko) | 2020-03-30 | 2021-08-17 | (주)도 은 | 렌즈 코팅용 옥시불화이트륨을 함유하는 저굴절 물질 및 그의 제조방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
CN113802094B (zh) * | 2020-06-16 | 2024-04-05 | 中微半导体设备(上海)股份有限公司 | 耐腐蚀涂层的镀膜方法及等离子体刻蚀零部件和反应装置 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
WO2021262508A1 (en) * | 2020-06-25 | 2021-12-30 | Lam Research Corporation | Matched chemistry component body and coating for a semiconductor processing chamber |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
JP2023014880A (ja) * | 2021-07-19 | 2023-01-31 | キオクシア株式会社 | 成膜装置および基板支持装置 |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
DE202022002731U1 (de) | 2022-05-03 | 2023-02-20 | Robert Bosch Gesellschaft mit beschränkter Haftung | Schutzelement und Plasmaätzkammer mit einem Schutzelement |
DE102022204358A1 (de) * | 2022-05-03 | 2023-11-09 | Robert Bosch Gesellschaft mit beschränkter Haftung | Schutzelement und Plasmaätzkammer mit einem Schutzelement |
Family Cites Families (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US612379A (en) * | 1898-10-11 | Claffey and orie p | ||
US4336276A (en) * | 1980-03-30 | 1982-06-22 | The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration | Fully plasma-sprayed compliant backed ceramic turbine seal |
US4525797A (en) * | 1983-01-03 | 1985-06-25 | Motorola, Inc. | N-bit carry select adder circuit having only one full adder per bit |
US4525464A (en) * | 1984-06-12 | 1985-06-25 | Max-Planck-Gesellschaft Zur Forderung Der Wissenschaften | Ceramic body of zirconium dioxide (ZrO2) and method for its preparation |
JP3076768B2 (ja) * | 1997-01-17 | 2000-08-14 | トーカロ株式会社 | 薄膜形成装置用部材の製造方法 |
US6068884A (en) * | 1998-04-28 | 2000-05-30 | Silcon Valley Group Thermal Systems, Llc | Method of making low κ dielectric inorganic/organic hybrid films |
US6123791A (en) * | 1998-07-29 | 2000-09-26 | Applied Materials, Inc. | Ceramic composition for an apparatus and method for processing a substrate |
EP1191002A4 (en) * | 2000-02-24 | 2005-01-26 | Ibiden Co Ltd | SINTERED ALUMINUM NITRIDE PIECE, CERAMIC SUBSTRATE, CERAMIC HEATING BODY, AND ELECTROSTATIC CHUCK |
TW503449B (en) * | 2000-04-18 | 2002-09-21 | Ngk Insulators Ltd | Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members |
JP4540221B2 (ja) * | 2000-04-21 | 2010-09-08 | 日本碍子株式会社 | 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材 |
EP1211725A4 (en) * | 2000-05-10 | 2003-02-26 | Ibiden Co Ltd | ELECTROSTATIC CHUCK |
US6645585B2 (en) * | 2000-05-30 | 2003-11-11 | Kyocera Corporation | Container for treating with corrosive-gas and plasma and method for manufacturing the same |
US6890861B1 (en) * | 2000-06-30 | 2005-05-10 | Lam Research Corporation | Semiconductor processing equipment having improved particle performance |
US6606234B1 (en) * | 2000-09-05 | 2003-08-12 | Saint-Gobain Ceramics & Plastics, Inc. | Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow |
WO2002048421A1 (fr) * | 2000-12-12 | 2002-06-20 | Tokyo Electron Limited | Procede de regeneration de contenant pour le traitement de plasma, element a l'interieur de ce contenant, procede de preparation de l'element a l'interieur de ce contenant, et appareil de traitement de plasma |
US6613442B2 (en) * | 2000-12-29 | 2003-09-02 | Lam Research Corporation | Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof |
US6805952B2 (en) * | 2000-12-29 | 2004-10-19 | Lam Research Corporation | Low contamination plasma chamber components and methods for making the same |
JP2002356387A (ja) * | 2001-03-30 | 2002-12-13 | Toshiba Ceramics Co Ltd | 耐プラズマ性部材 |
US7670688B2 (en) * | 2001-06-25 | 2010-03-02 | Applied Materials, Inc. | Erosion-resistant components for plasma process chambers |
JP4277973B2 (ja) * | 2001-07-19 | 2009-06-10 | 日本碍子株式会社 | イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材 |
JP2003059904A (ja) * | 2001-07-31 | 2003-02-28 | Applied Materials Inc | 半導体製造装置、半導体製造装置のチャンバ、半導体製造装置のチャンバ再生方法 |
US6789498B2 (en) * | 2002-02-27 | 2004-09-14 | Applied Materials, Inc. | Elements having erosion resistance |
US6780787B2 (en) * | 2002-03-21 | 2004-08-24 | Lam Research Corporation | Low contamination components for semiconductor processing apparatus and methods for making components |
JP2003277051A (ja) * | 2002-03-22 | 2003-10-02 | Ngk Insulators Ltd | イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法 |
US7311797B2 (en) * | 2002-06-27 | 2007-12-25 | Lam Research Corporation | Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor |
US20040086633A1 (en) * | 2002-11-04 | 2004-05-06 | Lemmon John P. | Systems and methods for the fabrication of solid oxide fuel cell components using liquid spraying |
JP4040998B2 (ja) * | 2003-03-14 | 2008-01-30 | 日本碍子株式会社 | セラミックス部材 |
-
2003
- 2003-12-18 US US10/737,917 patent/US7220497B2/en not_active Expired - Lifetime
-
2004
- 2004-12-09 WO PCT/US2004/041206 patent/WO2005062758A2/en active Application Filing
- 2004-12-09 JP JP2006545751A patent/JP2007516921A/ja active Pending
- 2004-12-09 CN CN2004800407563A patent/CN1906026B/zh active Active
- 2004-12-09 KR KR1020067014368A patent/KR101177333B1/ko active IP Right Grant
- 2004-12-17 TW TW093139417A patent/TWI381415B/zh active
-
2007
- 2007-03-08 US US11/715,373 patent/US8293335B2/en active Active
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20210027765A (ko) | 2019-09-03 | 2021-03-11 | 한국세라믹기술원 | 이트륨계 세라믹 및 그 제조 방법 |
Also Published As
Publication number | Publication date |
---|---|
KR20060132649A (ko) | 2006-12-21 |
WO2005062758A3 (en) | 2006-01-12 |
US20070166477A1 (en) | 2007-07-19 |
US7220497B2 (en) | 2007-05-22 |
CN1906026A (zh) | 2007-01-31 |
CN1906026B (zh) | 2011-08-03 |
WO2005062758A2 (en) | 2005-07-14 |
US20050136188A1 (en) | 2005-06-23 |
US8293335B2 (en) | 2012-10-23 |
TWI381415B (zh) | 2013-01-01 |
TW200531136A (en) | 2005-09-16 |
JP2007516921A (ja) | 2007-06-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101177333B1 (ko) | 반도체 재료 프로세싱 장치의 산화이트륨 (yttria)-코팅 세라믹 부품 및 그 부품을 제조하는 방법 | |
JP4331479B2 (ja) | 半導体処理装置における高靭性ジルコニアセラミック構成要素とコーティングおよびその製造方法 | |
KR100882758B1 (ko) | 반도체 공정 설비내의 세륨 옥사이드 함유 세라믹 부품 및 코팅 | |
KR101107542B1 (ko) | 플라즈마 반응기용 용사 이트리아 함유 코팅 | |
KR100834324B1 (ko) | 개선된 파티클 성능을 가지는 반도체 공정 설비 | |
KR100830068B1 (ko) | 반도체 공정 설비의 보론 나이트라이드/이트리아 복합체부품 및 그 제조방법 | |
JP5313227B2 (ja) | 半導体材料処理装置のアルミニウムめっき構成要素および該構成要素を製造する方法 | |
US6780787B2 (en) | Low contamination components for semiconductor processing apparatus and methods for making components | |
US6506254B1 (en) | Semiconductor processing equipment having improved particle performance | |
CN111066121B (zh) | 使用反应性气体前驱物从处理腔室选择性原位清洁高介电常数膜 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
AMND | Amendment | ||
E902 | Notification of reason for refusal | ||
AMND | Amendment | ||
E601 | Decision to refuse application | ||
AMND | Amendment | ||
J201 | Request for trial against refusal decision | ||
B701 | Decision to grant | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20150805 Year of fee payment: 4 |
|
FPAY | Annual fee payment |
Payment date: 20160809 Year of fee payment: 5 |
|
FPAY | Annual fee payment |
Payment date: 20170810 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20180808 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20190808 Year of fee payment: 8 |