KR102616691B1 - 챔버 부품들을 제조하기 위한 방법 - Google Patents

챔버 부품들을 제조하기 위한 방법 Download PDF

Info

Publication number
KR102616691B1
KR102616691B1 KR1020217029082A KR20217029082A KR102616691B1 KR 102616691 B1 KR102616691 B1 KR 102616691B1 KR 1020217029082 A KR1020217029082 A KR 1020217029082A KR 20217029082 A KR20217029082 A KR 20217029082A KR 102616691 B1 KR102616691 B1 KR 102616691B1
Authority
KR
South Korea
Prior art keywords
coating layer
coating
paragraph
base structure
laser
Prior art date
Application number
KR1020217029082A
Other languages
English (en)
Other versions
KR20210115058A (ko
Inventor
갱 그랜트 펭
데이비드 더블유. 그로셸
한 왕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210115058A publication Critical patent/KR20210115058A/ko
Application granted granted Critical
Publication of KR102616691B1 publication Critical patent/KR102616691B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

본 개시내용의 일 예는 원하는 막 속성들을 갖는 이트륨 함유 재료를 포함하는 코팅을 갖는 챔버 컴포넌트를 제조하는 방법을 제공한다. 일 예에서, 코팅 재료를 제조하는 방법은 알루미늄 함유 재료를 포함하는 베이스 구조를 제공하는 단계를 포함한다. 방법은 베이스 구조 상에 이트륨 함유 재료를 포함하는 코팅 층을 형성하는 단계를 더 포함한다. 방법은 또한, 처리된 코팅 층을 형성하기 위해 코팅 층을 열 처리하는 단계를 포함한다.

Description

챔버 부품들을 제조하기 위한 방법
[0001] 본 개시내용의 예들은 일반적으로, 플라즈마 프로세싱을 위한 방법 및 장치에 관한 것으로, 더 상세하게는, 개선된 막 속성들을 갖는 컴포넌트 부품들을 이용하는 플라즈마 프로세싱을 위한 방법 및 장치에 관한 것이다.
[0002] 마이크로일렉트로닉스 또는 집적 회로 디바이스들의 제조는 통상적으로, 반도체들, 유전체 및 전도성 기판들에 대해 수행되는 수백 개의 개별 단계들을 요구하는 복잡한 프로세스 시퀀스를 수반한다. 이들 프로세스 단계들의 예들은 산화, 확산, 이온 주입, 박막 증착, 세정, 에칭 및 리소그래피를 포함한다. 플라즈마 프로세스들은 종종, 박막 증착 프로세스들 이후 에칭 프로세스 및 프로세싱 챔버 세정 프로세스를 위해 사용된다. 화학 기상 증착에서, 적합한 프로세스 가스들에 전압들을 인가함으로써 반응성 종이 생성되고, 후속 화학 반응들은 기판 상에서의 박막의 형성을 초래한다. 플라즈마 에칭에서, 이전에 증착된 막은, 종종 이전의 리소그래피 단계에서 형성된 패터닝된 마스크 층을 통해 플라즈마에서 반응성 종에 노출된다. 반응성 종과 증착된 막 사이의 반응들은 증착된 막의 제거 또는 에칭을 초래한다.
[0003] 챔버 부품들 또는 프로세스 키트들이 연장된 기간들 동안 플라즈마 환경에 노출될 때, 플라즈마 종과의 반응으로 인해 챔버 표면 열화가 발생할 수 있다. 예컨대, 기존의 프로세스 키트들 또는 챔버 컴포넌트 부품들은 종종, 알루미늄 함유 재료들, 이를테면, 알루미늄 산화물, 알루미늄 합금들, 알루미늄 산질화물 또는 알루미늄 질화물로 제조된다. 할로겐-함유 가스들, 예컨대 불소-함유 또는 염소-함유 가스들은 회로 제조 동안 다양한 재료 층들을 에칭하는 데 사용된다. 알루미늄 함유 재료들은 불소 종에 의한 공격에 취약하여, 컴포넌트 부품들의 표면 상에서의 AlxFyOz의 형성을 초래하는 것으로 여겨진다. 그러한 에칭 부산물은 프로세싱 동안 입자들로서 떨어져 나가서, 프로세싱 동안 기판 상에 오염 및 결함들을 초래할 수 있다. 더욱이, 일부 알루미늄 함유 부품들은, 아마도 기계가공 동안 생성된 기계적 응력 및 온도 사이클들 및 플라즈마에 대한 주기적 노출의 결과로서 파손에 취약한 것으로 보인다. 화학 기상 증착 프로세스의 경우, 금속 할로겐 함유 화합물들은 종종 증착을 위한 전구체들로서 사용된다. 이들 화학물질들은 분해되어 할로겐 가스 종 또는 분자들을 산출할 것이며, 이는 종종 챔버 표면, 특히 원하지 않는 AlxFyOz 부산물들을 형성하는 알루미늄 부품들을 강하게 부식시킨다. 챔버 표면의 청정도는 증착 성능에 영향을 줄 중요 인자들 중 하나이다. 챔버 표면 청정도는 또한 챔버 표면 거칠기에 의존한다. 더 거친 챔버 컴포넌트 표면이 증착 프로세스 동안 더 많은 입자들을 생성할 가능성이 있을 수 있는 것으로 여겨진다.
[0004] 따라서, 플라즈마 애플리케이션들을 위한 신뢰할 수 있는 표면 재료를 갖는 챔버 부품 및 그러한 부품을 제조하기 위한 개선된 프로세스들에 대한 필요성이 존재한다.
[0005] 본 개시내용의 일 예는 원하는 막 속성들을 갖는 이트륨 함유 재료를 포함하는 코팅을 갖는 챔버 컴포넌트를 제조하는 방법을 제공한다. 일 예에서, 코팅 재료를 제조하는 방법은 알루미늄 함유 재료를 포함하는 베이스 구조를 제공하는 단계를 포함한다. 방법은 베이스 구조 상에 이트륨 함유 재료를 포함하는 코팅 층을 형성하는 단계를 더 포함한다. 방법은 또한, 처리된 코팅 층을 형성하기 위해 코팅 층을 열 처리하는 단계를 포함한다.
[0006] 다른 예에서, 코팅 재료를 제조하는 방법은 알루미늄 함유 재료를 포함하는 베이스 구조를 제공하는 단계를 포함한다. 코팅 층이 형성된다. 코팅 층은 베이스 구조 상에 이트륨 함유 재료를 포함한다. 방법은 처리된 코팅 층을 형성하기 위해 코팅 층을 레이저 처리하는 단계를 더 포함한다.
[0007] 또 다른 예에서, 챔버 컴포넌트는 레이저 처리된 코팅 층을 포함한다. 레이저 처리된 코팅 층은 챔버 컴포넌트 상에 이트륨 함유 재료를 포함한다. 레이저 처리된 코팅 층은 4.0 g/cm3 초과의 막 밀도를 갖는다.
[0008] 본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 개시내용의 더 구체적인 설명이 예들을 참조하여 이루어질 수 있는데, 이러한 예들 중 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들이 본 개시내용의 통상적인 예들만을 예시하는 것이므로, 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 상기 개시내용이 다른 균등하게 유효한 예들을 허용할 수 있기 때문이다.
[0009] 도 1은 챔버 컴포넌트 상에 코팅을 형성하기 위해 이용될 수 있는 프로세싱 툴이다.
[0010] 도 2는 도 1의 프로세싱 툴에서 제조된 적어도 하나의 챔버 컴포넌트를 갖는 플라즈마 반응기의 개략적인 다이어그램이다.
[0011] 도 3은 도 1의 툴을 이용하여 챔버 컴포넌트 상에서 코팅을 제조하기 위한 방법이다.
[0012] 도 4a 내지 도 4c는 챔버 컴포넌트 상에 형성된 코팅의 단면도들의 개략적인 예시들이다.
[0013] 도 5는 도 3의 방법에 의해 제조된 도 2의 플라즈마 에칭 반응기에서 사용되는 챔버 컴포넌트의 저면도를 도시한다.
[0014] 이해를 용이하게 하기 위하여, 도면들에 공통적인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 예의 엘리먼트들 및 특징들이 추가적인 인용 없이 다른 예들에 유익하게 통합될 수 있다는 것이 고려된다.
[0015] 그러나, 첨부된 도면들이 본 개시내용의 예시적인 예들만을 예시하는 것이므로, 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 예들을 허용할 수 있기 때문이다.
[0016] 본 개시내용은 개선된 특성들, 이를테면 향상된 화학적 또는 플라즈마 내성을 갖는 코팅을 갖는 플라즈마 프로세싱 챔버 부품들을 제조하기 위한 방법 및 장치를 제공한다. 일 예에서, 코팅 재료는 향상된 막 특성들을 갖는 이트륨 함유 재료를 포함할 수 있다. 그러한 향상된 특성들은, 코팅 재료의 적어도 일부가 부품 상에 형성된 이후, 부품 상에 배치된 코팅 층이 고온 처리 프로세스를 겪을 때 획득된다. 일 예에서, 코팅은 부품 상에 형성되고, 고온 처리 프로세스, 이를테면 레이저 처리 프로세스, 또는 다른 에너지 처리 프로세스가 뒤따를 수 있다.
[0017] 도 1은 기판의 표면, 이를테면 플라즈마 프로세싱 챔버에서 이용되는 부품 상에 형성된 코팅 재료를 처리하는 데 이용될 수 있는 프로세싱 툴(100)을 도시한다. 프로세싱 툴(100)은 기판 상에 형성된 코팅 재료에 레이저 에너지를 지향시키는 레이저 에너지 장치일 수 있다. 대안적으로, 프로세싱 툴(100)은, 기판 상에 배치된 코팅 재료의 본딩 구조 또는 막 속성들을 변경하기 위해 열 에너지, 빔 에너지, 광 에너지, 또는 다른 적합한 에너지를 제공할 수 있는 임의의 적합한 에너지 제공 장치일 수 있다.
[0018] 프로세싱 툴(100)은 레이저 모듈(152), 기판, 이를테면 기판(106)을 지지하도록 구성된 스테이지(112), 스테이지(112)의 이동을 제어하도록 구성된 병진이동 메커니즘(124)을 갖는 인클로저(150)를 갖는다. 액추에이터 시스템(108)은 또한 스테이지(112)의 제어 및 이동을 보조하기 위해 스테이지(112)에 커플링될 수 있다. 여기서, 기판(106)이 플라즈마 반응기에서 챔버 컴포넌트로서 나중에 이용될 부품이라는 것을 유의한다.
[0019] 레이저 모듈(152)은 스테이지(112) 위에 배치된 레이저 방사선 소스(101), 적어도 하나의 렌즈(102) 및 광학 포커싱 모듈(104)을 포함한다. 일 예에서, 레이저 방사선 소스(101)는 Nd:YAG, Nd:YVO4, 결정질 디스크, 다이오드 펌핑 섬유, 및 약 187 nm 내지 약 10,000 nm, 이를테면 약 248 nm 내지 2,100 nm의 파장으로 펄스형 또는 연속적인 방사선 파를 제공 및 방출할 수 있는 다른 광원으로 제조된 광원일 수 있다. 다른 예에서, 레이저 방사선 소스(101)는 다수의 레이저 다이오드들을 포함할 수 있으며, 이들 각각은 동일한 파장의 균일하고 공간적으로 코히런트한(coherent) 광을 생성한다. 또 다른 예에서, 누적 레이저 다이오드(들)의 전력은 약 2 와트 내지 200 와트의 범위에 있다.
[0020] 포커싱 광학 모듈(104)은 적어도 하나의 렌즈(102)를 사용하여 레이저 방사선 소스(101)에 의해 방출된 방사선을, 기판(106) 상에 배치된 코팅 재료(도시되지 않음)로 지향되는 라인, 스폿 또는 다른 적합한 빔 구성의 방사선(110)으로 변환한다. 방사선(110)은 코팅 재료의 별개의 미리 결정된 구역들에 레이저 에너지 도즈(doze)를 제공하기 위해 코팅 재료의 표면에 선택적으로 적용된다. 일 예에서, 방사선(110)은 코팅 재료에 존재하는 막 속성들, 이를테면 국부적 응력 또는 막 밀도의 원하는 변화가 획득될 때까지, 필요한 만큼 여러 번 코팅 재료의 표면에 선택적으로 적용될 수 있다. 다른 아키텍처에서, 레이저는 디지털 마이크로-미러 디바이스로부터 반사될 수 있으며, 이어서, 그 디바이스는, 필요에 따라 처리 조사적량(dosage) 맵을 구축하기 위해 (전체 기판을 처리하기 위해, 또는 기판에 걸쳐 스캐닝되는 작은 필드에서 확대되는) 기판 상으로 레이저 패턴을 투사한다.
[0021] 렌즈(102)는 방사선을 라인 또는 스폿으로 포커싱할 수 있는 임의의 적합한 렌즈 또는 일련의 렌즈들일 수 있다. 일 예에서, 렌즈(102)는 원통형 렌즈이다. 대안적으로, 렌즈(102)는 하나 이상의 오목 렌즈들, 볼록 렌즈들, 평면 미러들, 오목 미러들, 볼록 미러들, 굴절 렌즈들, 회절 렌즈들, 프레넬 렌즈들, 굴절률 분포형 렌즈(gradient index lens)들 등일 수 있다.
[0022] 검출기(116)는 스테이지(112) 위에서 레이저 모듈(152)에 배치된다. 일 예에서, 검출기(116)는, 스테이지(112) 상에 포지셔닝된 코팅 재료 및/또는 기판(106)의 막 속성들을 검사 및 검출하기 위해 상이한 파장들을 갖는 광원(120)을 제공할 수 있는 광학 검출기일 수 있다. 광원(120)은 기판(106) 또는 그 상에 배치된 코팅 재료로부터 반사되어, 시기적절한(in-time) 피드백 제어를 위해 다시 검출기(116)로의 반사된 광 빔(122)을 형성할 수 있다. 일 예에서, 검출기(116) 및 광원(120)은 기판(106) 상의 코팅 재료에 형성된 개별적인 디바이스 다이 패턴 또는 피처들을 보는 데 사용될 수 있는 광학 현미경(OM)의 일부를 형성할 수 있다. 다른 예에서, 검출기(116)는, 레이저 에너지 프로세스를 수행하기 전에 재료 층 및/또는 기판(106) 상의 국부적 두께, 응력, 굴절률(n&k), 표면 거칠기, 막 밀도 또는 저항율을 검출할 수 있는 계측 툴 또는 센서일 수 있다. 또 다른 예에서, 검출기(116)는 이미지 컬러 콘트라스트, 이미지 밝기 콘트라스트, 이미지 비교 등에 기반하여 코팅 재료 및/또는 기판(106)을 분석하기 위해 코팅 재료 및/또는 기판(106)의 이미지들을 캡처할 수 있는 카메라를 포함할 수 있다. 다른 예에서, 검출기(116)는 기판 또는 기판 상에 배치된 막 층들의 상이한 막 속성들 또는 특성들, 이를테면, 응력, 표면 거칠기, 막 밀도를 검출할 수 있는 임의의 적합한 검출기일 수 있다.
[0023] 검출기(116)는 코팅 재료의 선형 구역에 걸쳐 기판 표면을 선형으로 스캐닝할 수 있다. 검출기(116)는 또한, 기판(106)의 좌표, 정렬, 또는 배향을 식별하는 것을 도울 수 있다. 검출기(116)는 기판(106)이 X-방향(170)으로 전진함에 따라 기판(106)을 스캐닝할 수 있다. 유사하게, 검출기(116)는, 병진이동 메커니즘(124)이 스테이지(112)를 이동시킬 때, 기판(106)이 Y-방향(180)으로 이동함에 따라, 기판(106)을 스캐닝할 수 있다. 검출기(116)는 검출기(116) 또는 다른 검출기들 또는 컴퓨팅 시스템으로부터 레이저 모듈(152) 로의 이동 및 데이터 전달을 제어하기 위해 제어기(190)에 커플링될 수 있다.
[0024] 제어기(190)는 광학 검출 프로세스 및/또는 레이저 에너지 처리 프로세스를 수행하기 위해 검출기(116) 및/또는 레이저 모듈(152)을 제어하도록 구성된 고속 컴퓨터일 수 있다. 일 예에서, 광학 검출 프로세스는 레이저 에너지 처리 프로세스 전에 검출기(116)에 의해 수행되어, 레이저 에너지 프로세스를 수행하기 위한 레이저 에너지 처리 레시피에서 세팅된 프로세스 파라미터들이 광학 검출 프로세스로부터 수신된 측정 데이터에 기반할 수 있게 한다. 일 예에서, 제어기(190)는 기판(106) 상의 코팅 재료에 대해 레이저 에너지 처리 프로세스를 수행하기 위한 적절한 레시피를 결정하는 것을 보조하기 위해 데이터 컴퓨팅 시스템으로부터 데이터 또는 컴퓨팅된 알고리즘을 획득하도록 데이터 컴퓨팅 시스템(도시되지 않음)에 추가로 커플링될 수 있다.
[0025] 일 예에서, 병진이동 메커니즘(124)은 스테이지(112) 및 방사선(110)을 서로에 대해 병진이동시키도록 구성될 수 있다. 병진이동 메커니즘(124)은 포지티브 및 네거티브 X-방향(170) 및 포지티브 및 네거티브 Y-방향(180)으로 스테이지(112)를 이동시키도록 구성될 수 있다. 일 예에서, 스테이지(112)에 커플링된 병진이동 메커니즘(124)은 레이저 모듈(152) 및/또는 검출기(116)에 대해 스테이지(112)를 이동시키도록 구성된다. 다른 예에서, 병진이동 메커니즘(124)은, 에너지 빔이 스테이지(112) 상에 배치된 기판(106)에 대해 이동되게 하기 위해 레이저 방사선 소스(101), 포커싱 광학 모듈(104), 및/또는 검출기(116)를 이동시키도록 레이저 모듈(152) 및/또는 포커싱 광학 모듈(104) 및/또는 검출기(116)에 커플링된다. 또 다른 예에서, 병진이동 메커니즘(124)은 레이저 방사선 소스(101) 및/또는 포커싱 광학 모듈(104), 검출기(116), 및 스테이지(112)를 이동시킨다. 임의의 적합한 병진이동 메커니즘, 이를테면, 컨베이어 시스템, 랙 및 피니언 시스템(rack and pinion system), 또는 x/y 액추에이터, 다중 로봇, 또는 병진이동 메커니즘(124)에 대해 사용할 다른 적합한 기계적 또는 전기-기계적 메커니즘이 사용될 수 있다. 대안적으로, 스테이지(112)는 정지형이도록 구성될 수 있는 한편, 복수의 갈바노메트릭 헤드(galvanometric head)들(도시되지 않음)은 필요에 따라 레이저 방사선 소스(101)로부터 기판으로 방사선을 지향시키기 위해 기판 에지 주위에 배치될 수 있다.
[0026] 병진이동 메커니즘(124)은, 스테이지(112) 및 레이저 방사선 소스(101)가 서로에 대해 이동하는 스캔 속도를 제어하도록 제어기(190)에 커플링될 수 있다. 일 예에서, 레이저 방사선 소스(101)는 광학 방사선 소스이다. 제어기(190)는, 최적화된 레이저 도즈 패터닝 프로세스를 수행하기 위해 레이저 모듈(152)을 제어하는 데 사용되는 최적화된 레이저 에너지 레시피를 생성하기 위해 검출기(116)로부터 또는 데이터 컴퓨팅 시스템으로부터 데이터를 수신할 수 있다. 스테이지(112) 및 방사선(110) 및/또는 레이저 방사선 소스(101)는 에너지가 코팅 재료의 별개의 원하는 구역들로 전달되도록 서로에 대해 이동된다. 일 예에서, 병진이동 메커니즘(124)은 일정한 속도로 이동된다. 다른 예에서, 스테이지(112)의 병진이동 및 방사선 라인(110)의 이동은 제어기(190)에 의해 제어되는 상이한 경로들을 따른다.
[0027] 도 2는 플라즈마 증착 프로세스(예컨대, 플라즈마 강화 CVD 또는 금속 유기 CVD)를 수행하기에 적합한 프로세싱 챔버(232)의 단면도이며, 여기서 부품(예컨대, 챔버 컴포넌트)이 내부에서 이용될 수 있다. 프로세싱 챔버(232)는 캘리포니아 산타클라라 소재의 Applied Materials, Inc.로부터 입수가능한 적합하게 구성된 CENTURA®, PRODUCER® SE 또는 PRODUCER® GT 또는 PRODUCER® XP 프로세싱 시스템일 수 있다. 다른 제조사들에 의해 생산된 것들을 포함하는 다른 프로세싱 시스템들이 본 명세서에 설명된 예들로부터 이익을 얻을 수 있다는 것이 고려된다.
[0028] 프로세싱 챔버(232)는 챔버 바디(251)를 포함한다. 챔버 바디(251)는 내부 볼륨(226)을 정의하는 덮개(225), 측벽(201) 및 하단 벽(222)을 포함한다.
[0029] 페디스털(250)은 챔버 바디(251)의 내부 볼륨(226)에 제공된다. 페디스털(250)은 알루미늄, 세라믹, 알루미늄 질화물, 및 다른 적합한 재료들로 제조될 수 있다. 일 예에서, 페디스털(250)은, 페디스털(250)에 열적 손상을 야기하지 않으면서, 플라즈마 프로세스 환경과 같은 고온 환경에서 사용하기에 적합한 재료인 세라믹 재료, 이를테면 알루미늄 질화물에 의해 제조된다. 페디스털(250)은 리프트 메커니즘(도시되지 않음)을 사용하여 챔버 바디(251) 내부에서 Y-방향(180)으로 이동될 수 있다. 페디스털(250)은 샤프트(260)에 의해 지지된다. 샤프트(260)는 배선이 통과되게 하는 중공 중심을 갖는다. 배선은 페디스털(250) 내에 배치된 전극들에 회로들을 커플링시킨다.
[0030] 페디스털(250)은 페디스털(250) 상에 지지된 기판(290)의 온도를 제어하기에 적합한 매립된 가열기 엘리먼트(270)를 포함할 수 있다. 일 예에서, 페디스털(250)은 전력 공급부(206)로부터 가열기 엘리먼트(270)로 전류를 인가함으로써 저항성으로 가열될 수 있다. 일 예에서, 가열기 엘리먼트(270)는 니켈-철-크롬 합금(예컨대, INCOLOY®) 시스 튜브(sheath tube)에 캡슐화된 니켈-크롬 와이어로 제조될 수 있다. 전력 공급부(206)로부터 공급되는 전류는, 가열기 엘리먼트(270)에 의해 생성된 열을 제어하기 위해 제어기(210)에 의해 조절되며, 따라서, 임의의 적합한 온도 범위에서 막 증착 동안 기판(290) 및 페디스털(250)을 실질적으로 일정한 온도로 유지한다. 다른 예에서, 페디스털(250)은 필요에 따라 실온으로 유지될 수 있다. 또 다른 예에서, 페디스털(250)은 또한, 필요에 따라 실온보다 낮은 범위로 페디스털(250)을 냉각시키기 위해 필요에 따라 냉각장치(chiller)(도시되지 않음)를 포함할 수 있다. 공급된 전류는 섭씨 약 100도와 섭씨 약 700도 사이에서 페디스털(250)의 온도를 선택적으로 제어하도록 조정될 수 있다.
[0031] 종래의 방식으로 페디스털(250)의 온도를 모니터링하기 위해, 열전대와 같은 온도 센서(272)가 페디스털(250)에 매립될 수 있다. 측정된 온도는 기판을 원하는 온도로 유지하기 위해 가열기 엘리먼트(270)에 공급되는 전력을 제어하도록 제어기(210)에 의해 사용된다.
[0032] 페디스털(250)은 그것을 통해 배치되는 복수의 리프트 핀들(도시되지 않음)을 포함할 수 있으며, 복수의 리프트 핀들은 페디스털(250)로부터 기판(290)을 리프팅하고 종래의 방식으로 로봇(도시되지 않음)을 이용한 기판(290)의 교환을 가능하게 하도록 구성된다.
[0033] 페디스털(250)은 페디스털(250) 상에 기판(290)을 유지하기 위한 적어도 하나의 전극(292)을 포함한다. 전극(292)은 전통적으로 알려져 있는 바와 같이, 기판(290)을 페디스털 표면에 홀딩하는 정전기력을 발생시키도록 척킹 전력 소스(208)에 의해 구동된다. 대안적으로, 기판(290)은 클램핑, 진공 또는 중력에 의해 페디스털(250)에 유지될 수 있다.
[0034] 일 예에서, 페디스털(250)은 그 내부에 매립된 전극(292)을 갖는 캐소드로서 구성되며, 그 전극은 2개의 RF 바이어스 전력 소스들(284, 286)로서 도 2에 도시된 적어도 하나의 RF 바이어스 전력 소스에 커플링된다. 도 2에 도시된 예가 2개의 RF 바이어스 전력 소스들(284, 286)을 도시하지만, RF 바이어스 전력 소스들(284, 286)의 수가 필요에 따른 임의의 수일 수 있다는 것을 유의한다. RF 바이어스 전력 소스들(284, 286)은 페디스털(250)에 배치된 전극(292)과 다른 전극, 이를테면 프로세싱 챔버(232)의 가스 분배 플레이트(242) 또는 덮개(225) 사이에 커플링된다. RF 바이어스 전력 소스(284, 286)는 프로세싱 챔버(232)의 프로세싱 구역에 배치된 가스들로 형성된 플라즈마 방전을 여기시키고 유지한다.
[0035] 도 2에 도시된 예에서, 듀얼 RF 바이어스 전력 소스들(284, 286)은 매칭 회로(204)를 통해, 페디스털(250)에 배치된 전극(292)에 커플링된다. RF 바이어스 전력 소스(284, 286)에 의해 생성된 신호는 프로세싱 챔버(232)에 제공된 가스 혼합물을 이온화시키기 위해 매칭 회로(204)를 통해 페디스털(250)에 단일 피드를 통하여 전달되며, 따라서 증착 또는 다른 플라즈마 향상 프로세스를 수행하는 데 필요한 이온 에너지를 제공한다. RF 바이어스 전력 소스들(284, 286)은 일반적으로, 약 50 kHz 내지 약 200 MHz의 주파수 및 약 0 와트 내지 약 5000 와트의 전력을 갖는 RF 신호를 생성할 수 있다. 척킹 전력 소스(208) 및 매칭 회로(204)는 상부 전극(254)에 커플링된다. 상부 전극(254)은 기판(290)을 페디스털(250)의 상단 표면(292)에 정전기적으로 척킹시키도록 구성된다.
[0036] 진공 펌프(202)는 챔버 바디(251)의 하단 벽(222)에 형성된 포트에 커플링된다. 진공 펌프(202)는 챔버 바디(251)에서 원하는 가스 압력을 유지하는 데 사용된다. 진공 펌프(202)는 또한, 챔버 바디(251)로부터 프로세스의 부산물들 및 프로세싱-후 가스(post-processing gas)들을 진공 배기시킨다.
[0037] 프로세싱 챔버(232)는 프로세싱 챔버(232)의 덮개(225)를 통해 커플링된 하나 이상의 가스 전달 통로들(244)을 포함한다. 가스 전달 통로들(244) 및 진공 펌프(202)는 미립자 오염물을 최소화하기 위해 내부 볼륨(226) 내에 층류 유동을 유도하도록 프로세싱 챔버(232)의 대향 단부들에 포지셔닝된다.
[0038] 가스 전달 통로들(244)은 가스 혼합물을 내부 볼륨(226) 내로 제공하도록 원격 플라즈마 소스(RPS)(248)를 통해 가스 패널(293)에 커플링된다. 일 예에서, 가스 전달 통로들(244)을 통해 공급되는 가스 혼합물은 가스 전달 통로들(244) 아래에 배치된 가스 분배 플레이트(242)를 통해 추가로 전달될 수 있다. 일 예에서, 복수의 애퍼처들(243)을 갖는 가스 분배 플레이트(242)는 페디스털(250) 위의 챔버 바디(251)의 덮개(225)에 커플링된다. 가스 분배 플레이트(242)의 애퍼처들(243)은 가스 패널(293)로부터 챔버 바디(251) 내로 프로세스 가스들을 도입하는 데 이용된다. 애퍼처들(243)은 상이한 프로세스 요건들에 대한 다양한 프로세스 가스들의 유동을 가능하게 하기 위해 상이한 사이즈들, 수, 분포들, 형상, 설계, 및 직경들을 가질 수 있다. 기판(290)의 표면(291) 상에서의 재료의 증착을 초래하는, 프로세스 가스들의 열 분해를 향상시키기 위해, 가스 분배 플레이트(242)를 빠져나가는 프로세스 가스 혼합물로부터 플라즈마가 형성된다.
[0039] 가스 분배 플레이트(242) 및 페디스털(250)은 내부 볼륨(226)에 한 쌍의 이격된 전극들로 형성될 수 있다. 하나 이상의 RF 소스들(247)은 가스 분배 플레이트(242)와 페디스털(250) 사이의 플라즈마의 생성을 가능하게 하기 위해 매칭 네트워크(245)를 통해 가스 분배 플레이트(242)에 바이어스 전위를 제공한다. 대안적으로, RF 소스들(247) 및 매칭 네트워크(245)는 가스 분배 플레이트(242), 페디스털(250)에 커플링되거나, 또는 가스 분배 플레이트(242) 및 페디스털(250) 둘 모두에 커플링될 수 있다. 일 예에서, RF 소스들(247) 및 매칭 네트워크(245)는 챔버 바디(251) 외부에 배치된 안테나(도시되지 않음)에 커플링될 수 있다. 일 예에서, RF 소스들(247)은 약 30 kHz 내지 약 13.6 MHz의 주파수로 약 10 와트 내지 약 3000 와트를 제공할 수 있다. 대안적으로, RF 소스(247)는 내부 볼륨(226)에서의 플라즈마의 생성을 보조하는 마이크로파 전력을 가스 분배 플레이트(242)에 제공하는 마이크로파 생성기일 수 있다.
[0040] 가스 패널(293)로부터 공급될 수 있는 가스들의 예들은 실리콘 함유 가스, 불소 함유 가스, 산소 함유 가스, 수소 함유 가스, 비활성 가스 및 캐리어 가스들을 포함할 수 있다. 반응 가스들의 적합한 예들은 실리콘 함유 가스, 이를테면 SiH4, Si2H6, SiF4, SiH2Cl2, Si4H10, Si5H12, TEOS 등을 포함한다. 적합한 캐리어 가스는 질소(N2), 아르곤(Ar), 수소(H2), 알칸들, 알켄들, 헬륨(He), 산소(O2), 오존(O3), 수증기(H2O) 등을 포함한다.
[0041] 일 예에서, 원격 플라즈마 소스(RPS)(248)는 대안적으로, 가스 패널(293)로부터 내부 볼륨(226) 내로 공급되는 가스들로부터 플라즈마를 형성하는 것을 보조하도록 가스 전달 통로들(244)에 커플링될 수 있다. 원격 플라즈마 소스(248)는 가스 패널(293)에 의해 제공된 가스 혼합물로 형성된 플라즈마를 프로세싱 챔버(232)에 제공한다.
[0042] 제어기(210)는 CPU(central processing unit)(212), 메모리(216), 및 프로세스 시퀀스를 제어하고 가스 패널(293)로부터의 가스 유동들을 조절하는 데 이용되는 지원 회로(214)를 포함한다. CPU(212)는 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴들은 메모리(216), 이를테면 랜덤 액세스 메모리, 판독 전용 메모리, 플로피, 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 저장소에 저장될 수 있다. 지원 회로(214)는 전통적으로 CPU(212)에 커플링되며, 캐시, 클록 회로들, 입력/출력 시스템들, 전력 공급부들 등을 포함할 수 있다. 제어기(210)와 프로세싱 챔버(232)의 다양한 컴포넌트들 사이의 양방향 통신들은 총괄하여 신호 버스들(218)로 지칭되는 다수의 신호 케이블들을 통해 핸들링되며, 이들 중 일부가 도 2에 예시된다.
[0043] 가스 분배 플레이트(242) 또는 페디스털(250)과 같은 위에서 설명된 챔버 컴포넌트들 모두가 표면 보호 및 화학적/플라즈마 내성을 향상시키기 위해 아래에서 설명되는 방법에 의해 제조된 코팅 재료를 가질 수 있다는 것을 유의한다.
[0044] 도 3은 부품 또는 프로세싱 챔버(232) 컴포넌트와 같은 베이스 구조 상에 이트륨 함유 재료(이트륨 산화물(Y2O3), 또는 Al 또는 Zr과 같은 금속 도펀트들을 갖는 YxOyFz)를 포함하는 코팅 재료를 제조하는 데 사용될 수 있는 방법(300)의 일 예를 예시한다. 이트륨 함유 재료의 적합한 예들은 이트륨 산화물 또는 불소 이트륨 산화물, 금속 도펀트들을 갖는 불소 이트륨 산화물(AlYOF 또는 ZrYOF)을 포함한다. 베이스 구조는 알루미늄 함유 재료를 포함한다. 방법(300)은 동작(302)에서, 도 4a에 도시된 베이스 구조(402)와 같은 베이스 구조를 스프레이 코팅 챔버(도시되지 않음) 내에 제공함으로써 시작된다. 일 예에서, 베이스 구조(402)는 세라믹 재료, 금속 유전체 재료, 이를테면 Al2O3, AlN, AlON, 벌크 이트륨, 적합한 희토류 함유 재료들 등일 수 있다. 일 예에서, 베이스 구조(402)는 코팅 구조가 상부에 형성되게 허용하는 Al2O3로 제조된다.
[0045] 동작(304)에서, 도 4b에 도시된 바와 같이, 베이스 구조(402)의 제1 표면(403) 상에 코팅 층(404)을 형성하기 위해 스프레이 코팅 증착 프로세스가 수행된다. 코팅 층(404)은 이트륨 함유 재료(이트륨 산화물(Y2O3), 또는 Al 또는 Zr과 같은 금속 도펀트들을 갖는 YxOyFz)를 포함한다. 액체 스프레이 코팅, 겔 스프레이 코팅, 플라즈마 스프레이 코팅 또는 다른 적합한 증착 코팅 챔버들과 같은 임의의 적합한 코팅 챔버가 이트리아를 포함하는 코팅 층(404)을 베이스 구조(402) 상에 코팅하는 데 이용될 수 있다는 것을 유의한다.
[0046] 일 예에서, 분말 형태의 이트륨 함유 재료(이트륨 산화물(Y2O3), 또는 Al 또는 Zr과 같은 금속 도펀트들을 갖는 YxOyFz)가 시작 재료로서 사용될 수 있으며, 다른 컴포넌트들, 이를테면 물, 결합제, 및 코팅 층(404)의 제조 프로세스를 가능하게 하는 데 사용될 수 있는 적합한 첨가제들을 첨가함으로써 슬러리가 형성된다. 이어서, 슬러리는 코팅 층(404)을 형성하기 위해 베이스 구조(402)의 제1 표면(403) 상에 스프레이될 수 있다. 베이스 구조(402)에 걸쳐 균일하게 제1 표면(403) 상에 슬러리를 스프레이하는 것을 보조하기 위해 플라즈마가 생성되어, 베이스 구조(402) 상에 코팅 층(404)을 코팅하는 것을 보조할 수 있다. 일 예에서, 이트리아 분말은 약 15 μm 내지 약 0.1 μm의 평균 입자 사이즈를 가질 수 있다. 더 작은 입자 사이즈를 갖는 이트리아 분말은 코팅 층(404)의 비교적 더 매끄러운 표면, 이를테면 필요에 따라 더 적은 기판 거칠기를 제공하는 것을 보조할 수 있다. 일 예에서, 제1 코팅 층은 베이스 구조(402) 상의 플라즈마 스프레이 코팅이다.
[0047] 동작(306)에서, 도 4c에 도시된 바와 같이, 코팅 층(404)이 형성된 이후, 고온 처리(HTT) 프로세스가 수행되어, 처리된 층(406)을 코팅 층(404)의 제2 표면(405) 상에 형성한다. 고온 처리(HTT) 프로세스는 도 1에 도시된 프로세싱 툴(100)에서 수행될 수 있다. 고온 처리(HTT) 프로세스는 기판 표면 속성들을 변경하기 위해 코팅 층(404)의 표면을 처리한다. 동작(304)에서 처리될 때 코팅 층(404)의 막 속성들 및 본딩 구조들은 처리된 층(406)에서 낮은 결함 밀도를 갖는 견고한 막 구조(예컨대, 처리된 층(406))를 산출한다. 더욱이, 고온 처리(HTT) 프로세스는 코팅 층(404)의 표면으로부터 오염물들을 제거하는 것을 보조하여, 그에 따라, 양호한 접촉 계면을 제공할 뿐만 아니라 코팅 층(404) 상의 입자 축적을 피할 수 있다. 더욱이, 고온 처리(HTT) 프로세스는 또한, 코팅 층(404)의 표면의 모폴로지(morphology) 및/또는 표면 거칠기를 수정하도록 수행될 수 있으며, 이는, 필요에 따라 상부에 형성되는 후속하여 증착되는 층들의 접착을 개선시키기 위해 코팅 층(404)과 비교하여 비교적 더 매끄러운 표면을 갖는 처리된 층(406)을 형성한다. 일부 예들에서, 고온 처리(HTT) 프로세스는 코팅 층(404)의 본딩 에너지 및 본딩 구조들을 개선시키기 위해 코팅 층(404)으로부터의 불포화 본드들, 느슨한 본드들 또는 댕글링 본드(dangling bond)들과 반응하도록, 필요하다면, 산소 또는 질소와 같은 특정한 엘리먼트들을 통합할 수 있거나 통합하지 않을 수 있다.
[0048] 일 예에서, 동작(306)에서의 고온 처리(HTT) 프로세스는 도 1에 도시된 프로세싱 툴(100)과 같은 프로세싱 챔버에서 주변 가스와 함께 또는 주변 가스 없이 수행될 수 있다.
[0049] 일 예에서, 고온 처리(HTT) 프로세스는 고온 처리(HTT) 프로세스 요건에 의해 식별된 특정 위치 요건들에 따라 코팅 층(404)의 별개의 영역들에 일련의 레이저 펄스들을 적용함으로써 수행된다. 레이저 펄스들의 버스트들은 193 nm 초과, 예컨대 약 248 nm 내지 약 10,000 nm, 예컨대 약 1,100 nm의 파장의 레이저를 가질 수 있다. 각각의 펄스는 처리될 코팅 층(404)의 미리 결정된 구역들에 포커싱된다.
[0050] 일 예에서, 레이저 펄스의 스폿 사이즈는 약 10 μm 내지 약 1000 μm로 제어된다. 레이저 펄스의 스폿 사이즈는 원하는 치수, 피처, 패턴 및 기하학적 구조들을 갖는 막 층의 특정한 위치들에서 막 속성을 변경하도록 일정 방식으로 구성될 수 있다.
[0051] 레이저 펄스는 약 1 kHz 내지 약 20 MHz의 주파수에서 1 μJ/cm2(microJoules per square centimeter) 내지 2 μJ/cm2(microJoules per square centimeter)의 에너지 밀도(예컨대, 플루언스(fluence))를 가질 수 있다. 각각의 레이저 펄스 길이는 약 10 마이크로-초 내지 최대 10 페미토-초의 지속기간을 갖도록 구성된다. 레이저 처리 프로세스 동안, 베이스 구조 온도는 섭씨 약 15도 내지 섭씨 약 75도로 유지될 수 있다.
[0052] 레이저 펄스는, 원하는 표면 거칠기를 갖는 처리된 층(406)을 형성하기 위해 코팅 층(404)을 어닐링하거나 또는 달리 열 처리하지 않으면서 막 층의 국부적 응력을 변화시킨다. 단일 레이저 펄스가 사용될 수 있거나, 또는 다수의 레이저 도즈들이 동일한 기판 위치에 적용될 수 있다. 제1 기판 위치가 레이저 처리된 이후, 이어서, 제2 기판 위치는 펄스를 제2 위치로 지향시키도록 레이저 펄스(또는 기판)를 포지셔닝시킴으로써 레이저 처리된다. 고온 처리(HTT) 프로세스 요건은 미리 결정된 시간 기간에 도달할 때까지 계속된다.
[0053] 일부 예들에서, 주변 가스로부터의 엘리먼트들 중 일부가 필요에 따라 처리되거나 또는 처리된 층(406) 내로 통합될 수 있도록 고온 처리(HTT) 프로세스를 수행하는 동안 주변 가스가 프로세싱 툴(100)의 인클로저(150)에 공급될 수 있다. 일 예에서, 주변 가스는 산소 함유 가스, 이를테면 O2, N2O, NO2, H2O2, H2O 또는 O3, 질소 함유 가스, 이를테면 N2O, NH3, NO2, N2 등, 또는 비활성 가스, 이를테면 Ar 및 He일 수 있다.
[0054] 일부 예들에서, 인클로저(150) 내로 공급되는 주변 가스의 양은, 예컨대 처리된 층(406)을 형성하도록 통합된 엘리먼트들의 깊이/두께를 수용하도록 변화 및/또는 조정될 수 있다.
[0055] 레이저 에너지 처리 프로세스는, 막 층의 평면-내 변형을 국부적으로 변화시키기 위해 코팅 층(404)의 별개의 구역들에서, 국부화된 잔류 응력을 변경, 해제 또는 제거할 수 있다. 그렇게 함으로써, 고온 처리 프로세스 동안의 코팅 층(404)의 국부적 응력 변화는 또한, 처리된 층(406)의 표면 거칠기를 감소시키기 위해, 비교적 평탄한 표면을 갖는 결과적인 처리된 층(406)을 제공할 수 있다.
[0056] 동작(308)에서, 동작(306)에서의 처리 프로세스 이후, 상부에 형성된 코팅 재료(450)(코팅 층(404)로부터 변환된 결과적인 처리된 층(406))를 갖는 베이스 구조가 원하는 막 속성들로 형성된다. 일 예에서, 코팅 재료(450)는 Ra 5 마이크로미터 초과의 표면 거칠기를 가질 수 있다. 코팅 재료(450)의 밀도는 4.0 g/cm3 초과, 이를테면 약 4.0 g/cm3 내지 5.2 g/cm3일 수 있다. 예에서, 코팅 재료(450)의 이트륨 함유 재료는 이트리아를 포함한다. 코팅 재료(450)의 이트리아는 약 1:1 내지 2:1의 이트륨 대 산화물(Y:O) 비를 가질 수 있다. 코팅 재료(450)는 약 0.5 μm 내지 약 50 μm의 두께를 갖는다. 코팅 재료(450)는 2% 미만의 공극 밀도를 갖는다.
[0057] 베이스 구조(402) 상에 형성된 코팅 재료(450)가 비교적 견고한 구조를 가지므로, 도 2의 프로세싱 챔버(232)와 같은 프로세싱 챔버 내의 챔버 컴포넌트 상에 그러한 코팅 재료(450)를 증착할 시에, 코팅 재료(450)는 플라즈마 프로세스 동안 공격적인 플라즈마 종의 공격을 겪는 동안 양호한 표면 상태를 유지할 수 있다. 따라서, 챔버 벽, 기판 지지부, 가스 분배 플레이트, 또는 프로세싱 챔버(232)로부터의 다른 챔버 컴포넌트들로부터 입자들 또는 오염물을 생성할 가능성이 감소된다.
[0058] 도 5는 본 개시내용의 예들에 따른, 코팅 재료(450)가 상부에 형성된 상태로 제조될 수 있는 가스 분배 플레이트(242)의 저면도의 개략적인 예시를 도시한다. 이트리아-코팅된 가스 분배 플레이트(242)는 무엇보다도, 프로세싱 챔버(232) 또는 다른 플라즈마 챔버들, 이를테면 에칭 또는 증착 애플리케이션들을 위한 프로세싱 챔버들 또는 플라즈마 챔버들에서 사용될 수 있다. 가스 분배 플레이트(242)에는, 프로세싱 챔버(232)의 프로세스 구역 내로의 프로세스 가스들 및/또는 플라즈마 종의 통과를 허용하기 위한 복수의 애퍼처들(243)이 제공된다. 애퍼처들(243)은 가스 분배 플레이트(242) 상에 규칙적인 패턴으로 배열될 수 있거나, 또는 애퍼처들(243)은 상이한 가스 분배 필요성들을 허용하기 위해 상이한 패턴들로 배열될 수 있다. 도 5에 도시된 예에서, 코팅 재료(450)는 가스 분배 플레이트(242)(도 2에 도시된 가스 분배 플레이트(242)와 동일함)의 하단 표면 상에 형성된다. 플라즈마 프로세스 동안 가스 분배 플레이트(242)가 공격적인 플라즈마 종에 의해 공격받는 것을 보조할 수 있는 코팅 재료(450)가 가스 분배 플레이트(242)의 표면 상에 코팅되었으며, 따라서, 프로세싱 챔버(232)에 포지셔닝된 기판(290) 상에 떨어지는 입자들 또는 오염물을 생성할 가능성을 감소시킨다. 따라서, 제품 수율 및 기판(290) 청정도가 향상되고 유지될 수 있다.
[0059] 본 개시내용의 예들은 다양한 애플리케이션들을 위해 챔버 부품 상에 이트리아를 포함하는 코팅 재료를 제조하는 데 사용될 수 있다. 이들 향상된 표면 코팅 챔버 부품들은 플라즈마 프로세스들에서 직면하는 환경들과 같은 부식성 환경들에서 사용하기에 적합하다. 다양한 플라즈마 증착 및 에칭 챔버들은 본 명세서에 개시된 교시들, 예컨대, CENTURA® 시스템과 같은 반도체 웨이퍼 프로세싱 시스템의 일부일 수 있는 유전체 에칭 챔버들, 이를테면 ENABLER® 에칭 챔버, 반도체 웨이퍼 프로세싱 시스템의 일부일 수 있는 유전체 증착 챔버, 이를테면 PRODUCER® 또는 ENDURA® 증착 챔버, eMax 에칭 챔버, Producer 에칭 챔버 뿐만 아니라, 무엇보다도 전도체 에칭 챔버들, 이를테면 AdvantEdge 금속 및 DPS 금속 챔버들로부터 이익을 얻을 수 있으며, 이들 모두는 캘리포니아 산타클라라 소재의 Applied Materials, Inc.로부터 입수가능하다. 다른 제조사들로부터의 플라즈마 반응기들을 포함하는 다른 플라즈마 반응기들이 본 개시내용으로부터 이익을 얻도록 구성될 수 있다는 것이 고려된다.
[0060] 전술한 것이 본 개시내용의 예들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 예들이 본 개시내용의 기본적인 범위를 벗어나지 않으면서 안출될 수 있으며, 본 개시내용의 범위는 후속하는 청구항들에 의해 결정된다.

Claims (20)

  1. 코팅 재료를 제조하는 방법으로서,
    알루미늄 함유 재료를 포함하는 베이스 구조를 제공하는 단계;
    상기 베이스 구조 상에 이트륨 함유 재료를 포함하는 코팅 층을 형성하는 단계; 및
    처리된 코팅 층을 형성하기 위해 열 소스로 상기 코팅 층을 열 처리하는 단계 ― 상기 열 소스는 1 kHz 내지 20 MHz의 주파수와 1 μJ/cm2 내지 2 μJ/cm2의 에너지 밀도를 가짐 ―를 포함하는, 코팅 재료를 제조하는 방법.
  2. 제1항에 있어서,
    상기 이트륨 함유 재료는 이트륨 산화물 또는 불소 이트륨 산화물, 또는 금속 도펀트들을 갖는 불소 이트륨 산화물 중 적어도 하나인, 코팅 재료를 제조하는 방법.
  3. 제1항에 있어서,
    상기 코팅 층을 열 처리하는 단계는, 상기 코팅 층 상에 레이저 처리 프로세스를 수행하는 단계를 포함하는, 코팅 재료를 제조하는 방법.
  4. 제3항에 있어서,
    상기 레이저 처리 프로세스를 수행하는 단계는, 레이저 모듈로부터 상기 코팅 층의 표면으로 광 방사선을 지향시키는 단계를 포함하는, 코팅 재료를 제조하는 방법.
  5. 제4항에 있어서,
    상기 레이저 모듈은 187 nm 내지 10000 nm의 파장을 갖는 광 방사선을 제공하는, 코팅 재료를 제조하는 방법.
  6. 제4항에 있어서,
    상기 레이저 모듈은 248 nm 내지 2100 nm의 파장을 갖는 광 방사선을 제공하는, 코팅 재료를 제조하는 방법.
  7. 제1항에 있어서,
    상기 코팅 층을 열 처리하는 단계는 섭씨 15도 내지 섭씨 75도로 베이스 구조 온도를 유지하는 단계를 더 포함하는, 코팅 재료를 제조하는 방법.
  8. 제1항에 있어서,
    상기 이트륨 함유 재료는 이트륨 산화물인, 코팅 재료를 제조하는 방법.
  9. 제1항에 있어서,
    상기 처리된 코팅 층은 4 g/cm3 초과의 막 밀도를 갖는, 코팅 재료를 제조하는 방법.
  10. 제1항에 있어서,
    상기 처리된 코팅 층은 0.5 μm 내지 50 μm의 두께를 갖는, 코팅 재료를 제조하는 방법.
  11. 제1항에 있어서,
    상기 베이스 구조는 프로세싱 챔버 내의 가스 분배 플레이트 또는 기판 지지 조립체인, 코팅 재료를 제조하는 방법.
  12. 제1항에 있어서,
    상기 베이스 구조는 플라즈마 프로세싱 챔버에서 사용되는 챔버 컴포넌트인, 코팅 재료를 제조하는 방법.
  13. 제1항에 있어서,
    상기 처리된 코팅 층은 Ra 5 마이크로미터 초과의 표면 거칠기를 갖는, 코팅 재료를 제조하는 방법.
  14. 제1항에 있어서,
    상기 베이스 구조의 알루미늄 함유 재료는 Al2O3, AlN 또는 세라믹 재료인, 코팅 재료를 제조하는 방법.
  15. 제1항에 있어서,
    상기 코팅 층을 열 처리하는 단계는 상기 베이스 구조로부터 표면 입자들을 제거하는 단계를 더 포함하는, 코팅 재료를 제조하는 방법.
  16. 코팅 재료를 제조하는 방법으로서,
    알루미늄 함유 재료를 포함하는 베이스 구조를 제공하는 단계;
    상기 베이스 구조 상에 이트륨 함유 재료를 포함하는 코팅 층을 형성하는 단계; 및
    처리된 코팅 층을 형성하기 위해 상기 코팅 층을 레이저 처리하는 단계 ― 상기 레이저는 1 kHz 내지 20 MHz의 주파수와 1 μJ/cm2 내지 2 μJ/cm2의 에너지 밀도를 가짐 ―를 포함하는, 코팅 재료를 제조하는 방법.
  17. 제16항에 있어서,
    상기 이트륨 함유 재료는 이트륨 산화물, 불소 이트륨 산화물, 또는 금속 도펀트들을 갖는 불소 이트륨 산화물 중 적어도 하나인, 코팅 재료를 제조하는 방법.
  18. 제16항에 있어서,
    상기 베이스 구조는 플라즈마 프로세싱 챔버에 배치된 가스 분배 플레이트인, 코팅 재료를 제조하는 방법.
  19. 코팅 재료를 제조하는 방법으로서,
    베이스 구조 상에 이트륨 함유 재료를 포함하는 코팅 층을 형성하는 단계 ― 상기 베이스 구조는 알루미늄 함유 재료를 포함함 ―; 및
    처리된 코팅 층을 형성하기 위해 상기 코팅 층을 레이저 처리하는 단계를 포함하고,
    상기 레이저 처리하는 단계는,
    일련의 레이저 펄스들을 상기 코팅 층에 적용하는 단계 ― 상기 일련의 레이저 펄스들 중 각각의 레이저 펄스는 1 μJ/cm2 내지 2 μJ/cm2 범위 내의 에너지 밀도, 1 kHz 내지 20 MHz 범위 내의 주파수, 248 nm 내지 2100 nm 범위 내의 파장, 및 10 펨토-초 내지 10 마이크로-초 범위 내의 지속기간을 가짐 ―, 및
    가스를 상기 코팅 층과 반응시키는 단계 ― 상기 가스는 산소 또는 질소 중 하나 이상을 포함함 ―를 포함하는, 코팅 재료를 제조하는 방법.
  20. 제19항에 있어서,
    일련의 레이저 펄스들이 적용되어 상기 코팅 층의 본딩 에너지를 변경하는 동안 상기 가스가 상기 코팅 층과 반응하고, 처리된 코팅 층은,
    4.0 g/cm3 내지 5.2 g/cm3 범위 내의 밀도;
    1:1 내지 2:1 범위 내의 이트륨 대 산화물(Y:O) 비;
    0.5 μm 내지 50 μm 범위 내의 두께; 및
    2% 미만의 공극 밀도를 갖는, 코팅 재료를 제조하는 방법.
KR1020217029082A 2019-02-12 2020-01-17 챔버 부품들을 제조하기 위한 방법 KR102616691B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962804545P 2019-02-12 2019-02-12
US62/804,545 2019-02-12
PCT/US2020/014103 WO2020167414A1 (en) 2019-02-12 2020-01-17 Method for fabricating chamber parts

Publications (2)

Publication Number Publication Date
KR20210115058A KR20210115058A (ko) 2021-09-24
KR102616691B1 true KR102616691B1 (ko) 2023-12-20

Family

ID=71945911

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217029082A KR102616691B1 (ko) 2019-02-12 2020-01-17 챔버 부품들을 제조하기 위한 방법

Country Status (6)

Country Link
US (2) US11519071B2 (ko)
JP (2) JP7268177B2 (ko)
KR (1) KR102616691B1 (ko)
CN (1) CN113383405A (ko)
TW (1) TW202043527A (ko)
WO (1) WO2020167414A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240117489A1 (en) * 2022-10-06 2024-04-11 Applied Materials, Inc. Halogen-resistant thermal barrier coating for processing chambers

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160122584A1 (en) * 2013-05-21 2016-05-05 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Thermally curable coating systems

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5477975A (en) 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5473138A (en) * 1993-07-13 1995-12-05 Singh; Rajiv K. Method for increasing the surface area of ceramics, metals and composites
US7048607B1 (en) 2000-05-31 2006-05-23 Applied Materials System and method for chemical mechanical planarization
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP2006186306A (ja) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
US7648782B2 (en) * 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
JP5324029B2 (ja) * 2006-03-20 2013-10-23 東京エレクトロン株式会社 半導体加工装置用セラミック被覆部材
KR101329630B1 (ko) * 2006-04-13 2013-11-14 신에쓰 가가꾸 고교 가부시끼가이샤 가열소자
DE102006036151A1 (de) * 2006-07-31 2008-02-14 Gehring Gmbh & Co. Kg Verfahren zur Oberflächenbearbeitung eines Werkstückes mit einer tribologisch beanspruchbaren Fläche
US7479464B2 (en) * 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US9017765B2 (en) * 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
JP5001323B2 (ja) * 2009-03-27 2012-08-15 トーカロ株式会社 白色酸化イットリウム溶射皮膜表面の改質方法および酸化イットリウム溶射皮膜被覆部材
DE102010020994B4 (de) * 2010-01-27 2022-01-27 Interpane Entwicklungs-Und Beratungsgesellschaft Mbh Verfahren zur Herstellung eines beschichteten Gegenstands mit Texturätzen
JP2012036487A (ja) * 2010-08-11 2012-02-23 Toshiba Corp イットリア含有膜とその形成方法、並びに半導体製造装置およびプラズマ処理装置
US9556507B2 (en) * 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US10468235B2 (en) * 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9790582B2 (en) * 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
KR101895769B1 (ko) * 2015-08-17 2018-09-07 이종수 반도체 제조용 챔버의 코팅막 및 그 제조 방법
TWM563652U (zh) * 2016-10-13 2018-07-11 美商應用材料股份有限公司 用於電漿處理裝置的腔室部件及包含其之裝置
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
WO2019183237A1 (en) * 2018-03-22 2019-09-26 Applied Materials, Inc. Laser polishing ceramic surfaces of processing components to be used in the manufacturing of semiconductor devices
JP7239607B2 (ja) 2018-04-17 2023-03-14 アプライド マテリアルズ インコーポレイテッド ビードブラストを用いない表面のテクスチャリング
US20190341276A1 (en) 2018-05-03 2019-11-07 Applied Materials, Inc. Integrated semiconductor part cleaning system
US11776822B2 (en) 2018-05-29 2023-10-03 Applied Materials, Inc. Wet cleaning of electrostatic chuck

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160122584A1 (en) * 2013-05-21 2016-05-05 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Thermally curable coating systems

Also Published As

Publication number Publication date
US20200255946A1 (en) 2020-08-13
US11519071B2 (en) 2022-12-06
JP2023106380A (ja) 2023-08-01
WO2020167414A1 (en) 2020-08-20
KR20210115058A (ko) 2021-09-24
TW202043527A (zh) 2020-12-01
JP2022520191A (ja) 2022-03-29
US20230047031A1 (en) 2023-02-16
CN113383405A (zh) 2021-09-10
JP7268177B2 (ja) 2023-05-02

Similar Documents

Publication Publication Date Title
KR102402866B1 (ko) 고 종횡비의 구조체들의 콘택 세정
CN109402637B (zh) 差动泵送反应气体喷射器
JP5726928B2 (ja) プラズマ処理システムにおける副生成物堆積減少方法並びに構造
US20090139657A1 (en) Etch system
JP2006287228A (ja) セルフクリーニングが可能な半導体処理装置
KR102654243B1 (ko) 고밀도 플라즈마 cvd 시스템들에서 제 1 웨이퍼 금속 오염 효과 제거
US10950452B2 (en) Seasoning method and etching method
KR100967458B1 (ko) 에칭 방법 및 에칭 장치
WO2008150443A2 (en) Method and apparatus for laser oxidation and reduction reactions
US20080296258A1 (en) Plenum reactor system
US20230047031A1 (en) Method for fabricating chamber parts
JP7504885B2 (ja) アルミニウム層をインサイチュで保護する方法及びvuv波長域用の光学装置
US7270724B2 (en) Scanning plasma reactor
JPH0677126A (ja) 凝縮状態を利用した平坦化材料層形成方法
US20230127597A1 (en) High aspect ratio dielectric etch with chlorine
JP2024507833A (ja) 1又は複数のアモルファスカーボンハードマスク層を用いた基板処理の方法、システム、及び装置
WO2024058135A1 (ja) 基板処理方法及び基板処理システム
WO2024024373A1 (ja) 基板処理方法及び基板処理システム
CN115803863A (zh) 加工工具中的集成大气等离子体处理站
US20210035767A1 (en) Methods for repairing a recess of a chamber component
CN117941493A (zh) 用于加工硫族化物的技术和装置
JP2023515065A (ja) コア除去

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant