JP2024507833A - 1又は複数のアモルファスカーボンハードマスク層を用いた基板処理の方法、システム、及び装置 - Google Patents

1又は複数のアモルファスカーボンハードマスク層を用いた基板処理の方法、システム、及び装置 Download PDF

Info

Publication number
JP2024507833A
JP2024507833A JP2023550030A JP2023550030A JP2024507833A JP 2024507833 A JP2024507833 A JP 2024507833A JP 2023550030 A JP2023550030 A JP 2023550030A JP 2023550030 A JP2023550030 A JP 2023550030A JP 2024507833 A JP2024507833 A JP 2024507833A
Authority
JP
Japan
Prior art keywords
substrate
rapid thermal
chamber
amorphous carbon
annealing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023550030A
Other languages
English (en)
Inventor
クリシュナ ニッタラ,
サラ ミシェル ボベック,
クァンドゥック ダグラス リー,
ラタサミ リムドゥルパイブーニ,
ディミトリ キオウシス,
カーティック ジャナキラマン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2024507833A publication Critical patent/JP2024507833A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

Figure 2024507833000001
態様は概して、1又は複数のアモルファスカーボンハードマスク層を用いた基板の処理方法、システム、及び装置に関する。一態様では、エッチング選択性の向上を促進しながら膜応力を変化させる。一実装態様では、基板の処理方法は、基板上に1又は複数のアモルファスカーボンハードマスク層を堆積させることと、1又は複数のアモルファスカーボンハードマスク層を堆積させた後に、基板に急速熱アニール工程を実施することとを含む。急速熱アニール工程は、60秒以下のアニール時間持続する。急速熱アニール工程は、基板を600℃から1000℃の範囲内のアニール温度に加熱することを含む。本方法は、急速熱アニール工程を実施した後に、基板をエッチングすることを含む。
【選択図】図5

Description

[0001]態様は概して、1又は複数のアモルファスカーボンハードマスク層を用いた基板の処理方法、システム、及び装置に関する。一態様では、エッチング選択性の向上を促進しながら膜応力を変化させる。
[0002]基板のハードマスク層は、高い圧縮応力を有することがあり、処理に適さない場合がある。一例として、高い圧縮応力は層間剥離を引き起こしかねず、デバイスの性能を阻害する可能性がある。圧縮応力を低減するための努力は、長い工程時間、資源の大量消費、スループットの低下、ハードマスク層の損失を伴いうる。また、圧縮応力を低減しようとする努力には、エッチング選択性の損失が伴いうる。
[0003]したがって、処理時間の短縮、リソースの消費の削減、スループットの向上、及びハードマスク層の損失低減を促進するために、エッチング選択性の向上及び膜応力の変更を促進する改良された方法、システム、及び装置が必要である。
[0004]態様は概して、1又は複数のアモルファスカーボンハードマスク層を用いた基板処理の方法、システム、及び装置に関する。一態様では、エッチング選択性の向上を促進しながら膜応力を変化させる。
[0005]一実装態様では、基板の処理方法は、基板上に1又は複数のアモルファスカーボンハードマスク層を堆積させることと、1又は複数のアモルファスカーボンハードマスク層を堆積させた後に、基板に急速熱アニール工程を実施することとを含む。急速熱アニール工程は、60秒以下のアニール時間持続する。急速熱アニール工程は、基板を600℃から1000℃の範囲内のアニール温度に加熱することを含む。本方法は、急速熱アニール工程を実施した後に、基板をエッチングすることを含む。
[0006]一実装態様では、基板を処理するための非一過性コンピュータ可読媒体は、実行されると複数の工程を実施させる命令を含む。複数の工程は、基板上に1又は複数のアモルファスカーボンハードマスク層を堆積させることと、1又は複数のアモルファスカーボンハードマスク層を堆積させた後に、基板に急速熱アニール工程を実施することとを含む。急速熱アニール工程は、60秒以下のアニール時間持続する。急速熱アニール工程は、基板を600℃から1000℃の範囲内のアニール温度に加熱することを含む。複数の工程は、急速熱アニール工程を実施した後に基板をエッチングすることを含む。
[0007]一実装態様では、基板の処理システムは、堆積チャンバと、アニールチャンバと、堆積チャンバ及びアニールチャンバに結合された移送チャンバと、極低温エッチングチャンバとを含む。本システムは、実行されると、堆積チャンバに、基板上に1又は複数のアモルファスカーボンハードマスク層を堆積させる命令を有するコントローラを含む。命令は、実行されると、基板上に1又は複数のアモルファスカーボンハードマスク層が堆積された後に、アニールチャンバに、基板に急速熱アニール工程を実施させる。急速熱アニール工程は、60秒以下のアニール時間持続する。急速熱アニール工程は、基板を600℃から1000℃の範囲内のアニール温度に加熱することを含む。命令は、実行されると、急速熱アニール工程が実施された後に、極低温エッチングチャンバに、基板をエッチングさせる。
[0008]上述した本開示の特徴を詳細に理解できるように、一部が添付の図面に例示されている実施形態を参照しながら、上記に要約した本開示をより具体的に説明する。しかし、添付の図面は例示的な実施形態を単に示すものであり、したがって、本開示の範囲を限定するものと見なすべきではなく、本開示は他の等しく有効な実施形態も許容しうることに留意されたい。
一実装態様に係る基板の処理システムの概略部分図である。 一実装態様に係る基板処理チャンバの概略断面図である。 一実装態様に係るアニールチャンバの概略部分断面図である。 一実装態様に係るエッチングチャンバの概略部分断面図である。 一実装態様に係る基板の処理方法の概略図である。
[0014]理解を容易にするために、可能な限り、図面に共通の同一要素を示すのに同一の参照番号を使用している。一実施形態の要素及び特徴は、さらなる詳述なしに他の実施形態に有益に組み込まれ得ると考えられる。
[0015]態様は概して、1又は複数のアモルファスカーボンハードマスク層を用いた基板の処理方法、システム、及び装置に関する。一態様では、エッチング選択性の向上を促進しながら膜応力を変化させる。
[0016]図1は、一実装態様に係る基板の処理システム100の概略部分図である。システム100は、複数のプロセスチャンバ101、102を有するクラスタツール103を含む。プロセスチャンバ101、102を図示したが、システム100は、移送チャンバ112の周りに配置され、移送チャンバ112に結合された5つを超えるプロセスチャンバ又は5つ未満のプロセスチャンバを含み得ることが企図される。クラスタツール103は、通信的に、電気的に、機械的に、又は他の方法で、1又は複数の追加のクラスタツール及び/又は図1において第2のクラスタツール122として集合的に示す1又は複数の他のシステムに結合され得る。基板は、移送システムを使用してクラスタツール103と第2のクラスタツール122との間で移送され、周囲条件に暴露され得る。他の実施形態と組み合わせることができる一実施形態では、基板は、クラスタツール103のファクトリインターフェース114から第2のクラスタツール122に、又は第2のクラスタツール122からクラスタツール103のファクトリインターフェース114内に移送される。基板は、ファクトリインターフェース114から移送チャンバ112に移送され得る。移送チャンバ112の移送領域118は、温度及び/又は圧力が設定及び維持及び/又は調整され得る環境等の、環境的に制御された環境であってよい。他の実施形態と組み合わせることができる一実施形態では、移送チャンバ112は真空圧下に保持される。中央移送ロボット116は、プロセスチャンバ101、102内で及びそれらの間で基板を移送するように構成される。システム100は、複数のプロセスチャンバ101、102の重複したチャンバを含み得ることが企図される。
[0017]クラスタツール103は、移送チャンバ112に結合された堆積チャンバ101及びアニールチャンバ102を含む。本開示は、基板に洗浄工程を実施するように構成された洗浄チャンバ等の他のプロセスチャンバが、移送チャンバ112の周りに配置され、移送チャンバ112に結合され得ることを企図している。第2のクラスタツール122は、リソグラフィチャンバ123及びエッチングチャンバ124を含む。堆積チャンバ101は、基板上に1又は複数のアモルファスカーボンハードマスク層を堆積させるように構成される。アニールチャンバ102は、基板に急速熱アニール工程を実施するように構成される。エッチングチャンバ124は、基板に超低温エッチング工程等のエッチング工程を実施するように構成される。リソグラフィチャンバ123は、基板にフォトリソグラフィパターニング工程等のパターニング工程を実施するように構成される。堆積チャンバ101、アニールチャンバ102、及び移送チャンバ112は、クラスタツール103のフレーム104に取り付けられる。
[0018]コントローラ120は、堆積チャンバ101、アニールチャンバ102、リソグラフィチャンバ123、及びエッチングチャンバ124の工程を制御するために、システム100のクラスタツール103及び第2のクラスタツール122に結合される。コントローラ120は、中央処理装置(CPU)131と、命令を含むメモリ132と、CPU131の支援回路133とを含む。コントローラ120は、システム100を直接、又はクラスタツール103及び第2のクラスタツール122に結合された他のコンピュータ及び/又はコントローラ(図示せず)を介して制御する。コントローラ120は、様々なチャンバ及び機器、ならびにその上又はその中のサブプロセッサを制御するために産業環境で使用される任意の形態の汎用コンピュータプロセッサである。
[0019]メモリ132、又は非一過性コンピュータ可読媒体は、ランダムアクセスメモリ(RAM)、読出し専用メモリ(ROM)、フロッピーディスク、ハードディスク、フラッシュドライブ、又はローカルもしくはリモートの任意の他の形態のデジタルストレージ等の容易に入手可能なメモリのうちの1又は複数である。支援回路133はCPU131に結合され、CPU131(プロセッサ)を支援する。支援回路133は、キャッシュ、電源、クロック回路、入出力回路及びサブシステム等を含む。基板処理パラメータ及び工程は、コントローラ120をシステム100の工程を制御する特定目的のコントローラにするように実行又は起動されるソフトウェアルーチンとしてメモリ132に記憶される。コントローラ120は、本明細書に記載の方法のいずれかを実施するように構成される。メモリ132に記憶された命令は、実行されると、方法500の工程501~508のうちの1又は複数を実施させる。
[0020]システム100は、移送チャンバ112の移送領域118、堆積チャンバ101の処理領域、アニールチャンバ102の処理領域、リソグラフィチャンバ123の処理領域、及び/又はエッチングチャンバ124の処理領域等のシステム100の1又は複数の態様の状態及び/又は特性を監視する1又は複数の計測センサを含む。システム100は、1又は複数のセンサ191a~191d(4つを示す)を有する1又は複数のモジュール190(1つを示す)を含む。センサ191a~191dを有するモジュール190は、移送チャンバ112の移送領域118に配置される。本開示は、1又は複数のセンサを有する1又は複数のモジュールが、堆積チャンバ101、アニールチャンバ102、リソグラフィチャンバ123、及び/又はエッチングチャンバ124のうちの1又は複数に配置され得ることを企図する。
[0021]センサ191a~191dを有するモジュール190は、それぞれのチャンバ101、102、112、123、又は124の内面に取り付けられる等、チャンバ101、102、112、123、及び124のうちの1又は複数に取り付けられていてよい。センサ191a~191dのうちの少なくとも1つは、中央移送ロボット116が基板を移送している間等に、移送領域118の汚染物質及びその濃度を検出、監視、及び/又は測定するように構成される。一例では、1又は複数のセンサ191a~191dは、1又は複数のインサイチュ計測センサを含む。
[0022]センサ191a~191dのうちの少なくとも1つは、基板が中央移送ロボット116によって移送されている間、又は基板がプロセスチャンバ101、102、123、124で処理されている間等に、システム100で処理される基板の状態及び/又は特性を検出、監視、及び/又は測定するように構成される。一例では、1又は複数のセンサ191a~191dは、1又は複数のオンウエハ分光センサ、及び/又は1又は複数の汚染物質センサを含む。一例では、1又は複数のセンサ191a~191dは、1又は複数の酸素センサ、1又は複数の水蒸気センサ、1又は複数の蛍光X線分光(XRF)センサ、及び/又は1又は複数のX線光電子分光(XPS)センサを含む。他の実施形態と組み合わせることができる一実施形態では、センサ191a~191dは、基板の1又は複数のアモルファスカーボンハードマスク層の1又は複数の厚さ(急速熱アニール工程の前及び/又は後等)、基板の反り、及び/又は基板の1又は複数のアモルファスカーボンハードマスク層の膜応力を測定するように構成される。
[0023]コントローラ120によって実行される複数の命令は、1又は複数のセンサ191a~191dに、汚染物質、状態、及び/又は特性を検出、監視、及び/又は測定するように指示する命令を含む。コントローラ120のメモリ132内の命令は、本明細書に記載の工程に加えて実行され得る1又は複数の機械学習/人工知能アルゴリズムを含み得る。一例として、コントローラ120によって実行される機械学習/人工知能アルゴリズムは、1又は複数のセンサ191a~191dによって取得された1又は複数のセンサ測定値に基づいて、工程パラメータを最適化及び変更することができる。工程パラメータは、例えば、アニール時間、アニール温度、アニール圧力、アニールガス組成、アニール流量、堆積温度、堆積圧力、第1の流量、第2の流量、総流量、反応性前駆体ガス組成、不活性ガス組成、エッチング温度、ハードマスク厚、膜応力、基板の反り、水素含有量、エッチング選択性、ヤング率、及び/又は膜密度(それぞれ後述)を含み得る。
[0024]1又は複数の機械学習/人工知能アルゴリズムは、アニール温度等の工程パラメータを最適化するために、基板の反り、急速熱アニール工程後の測定された膜厚、及び/又は急速熱アニール工程後の測定された膜応力を考慮し得る。他の実施形態と組み合わせることができる一実施形態では、1又は複数の機械学習/人工知能アルゴリズムは、急速熱アニール工程後の膜厚(ハードマスク厚等)及び膜応力を測定して、堆積工程及び/又は急速熱アニール工程を1又は複数回追加で繰り返すかどうかを決定することができる。他の実施形態と組み合わせることができる一実施形態では、1又は複数の機械学習/人工知能アルゴリズムは、1又は複数のアモルファスカーボンハードマスク層が引張膜応力又は100MPa以下の膜応力等の中性膜応力を達成するのに要する最適化されたアニール時間を決定し得る。他の実施例と組み合わせることができる一実施例では、入ってくる基板の反りを測定し、1又は複数の機械学習/人工知能アルゴリズムが最適化されたアニール時間を決定する。他の実施形態と組み合わせることができる一実施形態では、コントローラ120によって実行される1又は複数の機械学習/人工知能アルゴリズムは、最適化されたアニール時間、最適化されたアニール温度、最適化されたアニール圧力、最適化されたアニールガス組成、最適化されたアニール流量、及び/又は急速熱アニール工程の反復回数を決定する。
[0025]図2は、一実装態様に係る基板処理チャンバ200の概略断面図である。基板処理チャンバ200は、例えば、化学気相堆積(CVD)チャンバ又はプラズマCVD(PECVD)チャンバであってよい。本開示は、原子層堆積(ALD)チャンバ又は物理的気相堆積(PVD)チャンバ等の他のチャンバが使用され得ることを企図する。基板処理チャンバ200は、図1に示すシステム100の堆積チャンバ101として使用され得る堆積チャンバである。
[0026]基板処理チャンバ200は、チャンバ本体202と、チャンバ本体202上に配置されたチャンバリッド204とを有する。チャンバ本体202は、その中に内部領域206を含む。本開示は、チャンバ本体202が単一の本体、又は2つ以上の本体でできていてよいことを企図している。チャンバ本体202は、1又は複数の側壁及び基部を含む。基板処理チャンバ200は、1又は複数の処理ガス209の流れをシャワーヘッド201を通して処理領域210に供給するために、チャンバリッド204に結合された、又はチャンバリッド204に配置されたガス分配アセンブリ216を含む。1又は複数の処理ガスは、他のガスの中でも、アルゴン及び/又はCのうちの1又は複数を含み得る。一例では、1又は複数の処理ガスは、1又は複数の反応性前駆体ガス及び1又は複数の不活性ガス(プラズマを生成するため)を含む。シャワーヘッド201は、バッキングプレート226及びフェースプレート230を含む。ガス分配アセンブリ216は、チャンバリッド204に形成されたガス入口通路220に結合されたガスマニホールド218を含む。ガスマニホールド218は、1又は複数のガス源222(2つを示す)から1又は複数の処理ガスの流れを受け入れる。1又は複数のガス源222から受け入れられた処理ガスの流れは、ガスボックス224を横切って分配され、バッキングプレート226の複数の開口部291を通って流れ、更にバッキングプレート226及びフェースプレート230によって画定されたプレナム228を横切って分配される。次いで、処理ガス209の流れは、シャワーヘッド201のフェースプレート230の下面219に形成された1又は複数のガス開口部232を通って、内部領域206の処理領域210に流入する。
[0027]内部領域206は、チャンバ本体202に配置されたペデスタル238を含む。ペデスタル238は、基板処理チャンバ200内で基板236を支持する。ペデスタル238は、ペデスタル238の支持面239上で基板236を支持する。ペデスタル238は、その中に配置されたヒータ及び電極を含む。電極は、処理を促進するために、直流(DC)電圧、無線周波(RF)エネルギー、又は交流(AC)エネルギーを受けることができる。ペデスタル238は、リフトシステム295によって内部領域206に移動可能に配置される。ペデスタル238の移動により、チャンバ本体202を貫通して形成されたスリットバルブを通して、内部領域206への、及び内部領域206からの基板236の移送が容易になる。また、ペデスタル238は、基板236の処理のために異なる処理位置に移動させることもできる。
[0028]基板処理中、処理ガス(処理ガス209等)が処理領域210に流入すると、ヒータがペデスタル238及び支持面239を加熱する。また、基板処理中、ペデスタル238の電極は、無線周波(RF)エネルギー、交流(AC)、又は直流(DC)電圧を伝搬して、処理領域210におけるプラズマ発生を促進する、及び/又はペデスタル238への基板236のチャッキングを促進する。本開示はまた、RFエネルギー、AC、又はDC電圧を供給してプラズマの発生を促進するために、電源がシャワーヘッド201に結合され得ることを企図する。ペデスタル238の電極からの熱、ガス、及びエネルギーにより、基板処理中に基板236上への1又は複数のアモルファスカーボンハードマスク層の形態の膜の堆積が促進される。チャンバ本体202との結合を介して接地されるフェースプレート230及びペデスタル238の電極は、容量性プラズマ結合の形成を促進する。ペデスタル238の電極に電力が供給されると、電界がフェースプレート230とペデスタル238との間に発生し、ペデスタル238とフェースプレート230との間の処理領域210に存在するガスの原子がイオン化され、電子を放出する。イオン化された原子はペデスタル238に向かって加速し、基板236上への1又は複数のアモルファスカーボンハードマスク層の形成が促進される。
[0029]ポンピング装置203が、基板処理チャンバ200に配置される。ポンピング装置203は、内部領域206及び処理領域210からのガスの除去を容易にする。ポンピング装置203によって排気されるガスは、処理ガス及び処理残留物のうちの1又は複数を含む。処理残留物は、基板236上に膜を堆積させるプロセスから生じ得る。ポンピング装置203は、チャンバ本体202の段差面293上に配置されたポンピングライナ260と、ペデスタル238とポンピングライナ260との間に配置されたアイソレータリング259とを含む。
[0030]基板処理チャンバ200は、チャンバ本体202の底部に配置されたパージガス入口213を含む。パージガス入口213は、チャンバ本体202の底面に形成された開口部である。パージガス入口213は、1又は複数のパージガス279をパージガス入口213に供給するパージガス源214に流体的に接続されている。1又は複数のパージガス279は、Ar及び/又はNのうちの1又は複数等の1又は複数の不活性ガスを含む。1又は複数のパージガス279は、パージガス流路211に沿って流れる。ボウル212が内部領域206に配置されている。ボウル212はパージガス領域215を画定する。1又は複数のベローズ217がパージガス領域215に配置され得る。1又は複数のベローズ221は、ボウル212の水平部分212bの上方、及びペデスタル238の底面298の下方に配置される。1又は複数のベローズ221は、1又は複数のベローズ221とボウル212の垂直部分212aとの間にあるパージガス流路211の一部からデッドボリューム263を分離する。基板処理工程中、処理ガス209がシャワーヘッド201から処理領域210に流入する間、パージガス入口213は、1又は複数のパージガス279をパージガス領域215に流入させる。ボウル212の水平部分212bは、パージガス279をパージガス領域215からパージガス流路211に流入させる1又は複数のパージガス開口部297を含む。処理ガス209とパージガス279は、支持面239又はその近傍で合流及び/又は混合する。処理ガス209とパージガス279は混合して混合ガスを形成し、ポンピング装置203によって排気される。ポンピング装置203は、ポンピングライナ260とアイソレータリング259とを含む。混合ガスは、第1の導管276及び第2の導管278を通り、フォアライン272を通って、真空ポンプ233に排気される。真空ポンプ233は、処理領域210内の圧力を制御し、処理領域110からガス及び残留物を排気する。
[0031]図3は、一実装態様に係るアニールチャンバ300の概略部分断面図である。アニールチャンバ300は急速熱アニールチャンバである。アニールチャンバ300は、図1のシステム100のアニールチャンバ102として使用することができる。アニールチャンバ300で処理される基板236は、バルブ(スリットバルブ等)又はアクセスポート313を通って、アニールチャンバ300の処理領域318内に供給される。基板236は、その周縁部が環状エッジリング314によって支持されている。エッジリング314は、基板236の角部に接触する環状傾斜棚315を有する。基板236は、基板236の上面に既に形成された処理済み特徴部316が放射加熱装置324に向かって上方に向くように配向されている。基板236は、上述した堆積チャンバ200で処理された基板である。処理済み特徴部316は、1又は複数のアモルファスカーボンハードマスク層を含む。
[0032]アニールチャンバ300は、チャンバ本体302と、チャンバ本体302内に配置された処理領域318とを含む。チャンバ本体302は、1又は複数の側壁303を含む。処理領域318は、その上側が透明石英窓320によって画定されている。アニールチャンバ300は、基板236に急速熱アニール工程を実施する。他の実施形態と組み合わせることができる一実施形態では、急速熱アニール工程は、基板236を毎秒約10℃以上の速度、例えば毎秒約10℃から毎秒約250℃以上の速度で均一に加熱することができる。急速熱アニール工程のランプダウン(冷却)速度は、毎秒約80℃から毎秒約150℃の範囲である。本開示の態様は、5秒未満、例えば1秒未満、又は複数のミリ秒で基板をアニールする等のフラッシュアニールにも適用可能である。
[0033]3つのリフトピン322は、基板236がロボット等の基板移送装置によってハンドリングされるときに、基板236の底面(裏面等)に係合して支持するように昇降する。ロボットのロボットブレード及び/又はロボットのロボットアームがバルブ又はアクセスポート313を通って延び、基板236をアニールチャンバ300内及びリフトピン322上に供給する。次いで、基板236はエッジリング314上に下ろされる。処理領域318で基板236を加熱するため、放射加熱装置324が窓320の上方に位置決めされ、放射エネルギーを基板236に向かって方向づけする。アニールチャンバ300において、放射加熱装置は、窓320の上方に六角最密アレイで配置されたそれぞれの反射管327に位置決めされた複数の加熱ランプ326を含む。複数の加熱ランプ326は、高輝度タングステンハロゲンランプを含む。複数の加熱ランプ326は、エッジリング314の上方に位置決めされている。
[0034]加熱ランプ326は、処理領域318及び基板236の温度を上昇させるために放射源の温度を急速に上昇させる、又はランプアップさせる抵抗加熱を伴う。加熱ランプ326は、フィラメントを取り囲むガラス又はシリカのエンベロープを有する白熱ランプ及びタングステンハロゲン白熱ランプ、ならびにキセノン等のガスを取り囲むガラス又はシリカのエンベロープを含むフラッシュランプを含み得る。加熱ランプ326は、ガス又は蒸気を取り囲むガラス、セラミック、又はシリカのエンベロープを含むアークランプを含み得る。このようなランプは、ガスに電圧が印加されると放射熱を発する。本明細書で使用するランプという用語は、熱源を取り囲むエンベロープを有するランプを含むことを意図している。ランプの「熱源」は、基板236の温度を上昇させることができる材料又は要素、例えば、電圧が印加され得るフィラメント又はガスを指す。本開示は、基板236を加熱する複数の加熱ランプ326の代わりに、又はそれに加えて、(基板236が支持される)ペデスタルに埋め込まれた1又は複数のアニールレーザ及び/又は1又は複数の抵抗ヒータ要素が使用され得ることを企図する。
[0035]基板236に対して平行に、かつ基板236よりも大きい面積にわたって延在し、基板236の底面の方を向いたリフレクタ328は、基板236から放出された熱放射を効率的に基板236に反射して戻す。他の実施形態と組み合わせることができる一実施形態では、基板236の見かけの放射率を高めるためのリフレクタプレートが含まれる。金コーティング又は多層誘電体干渉ミラーを有し得るリフレクタ328は、基板236の裏側に、基板236の暖かい部分から冷たい部分へ熱を分配するように機能する黒体空洞を効果的に形成する。チャンバ本体302は上壁321と下壁353とを含む。リフレクタ328は、冷却中等に基板236からの余分な放射をヒートシンクする能力において選択された金属等の材料でできた下壁353に形成された水冷基部上に配置されている。上壁321は石英窓320を含み、下壁353は上壁321と実質的に平行である。下壁353は、金属等の著しく不透明の材料でできていてよい。
[0036]エッジリング314は、支持シリンダ331上に配置されて支持され、エッジリング314の熱膨張及び/又は熱収縮に伴って、支持シリンダ331及びロータ330に対して移動可能である。エッジリング314は、支持シリンダ331とロータ330とを用いて移動させることもできる。支持シリンダ331は断熱材を含み得る。支持シリンダ331は、ロータ330上に支持され、ロータ330に結合されていてよい、又はロータ330の一部として形成されていてよい。ロータ330と支持シリンダ331は回転可能である。ロータ330は円筒状である。ロータ330は、チャンバ本体302内で磁気浮上する。ロータ330は、チャンバ本体302の1又は複数の側壁303の外側に位置決めされた駆動リング332に磁気的に結合されている。重力と、エッジリング315の下面から下向きに延在する下側肩部により、エッジリング314を支持シリンダ331及びロータ330上に保持することが容易になる。他の実施形態と組み合わせることができる一実施形態では、駆動リング332は回転可能なフランジであり、駆動リング332の回転に伴ってロータ330が回転するようにロータ330に磁気的に結合されている。このような実施形態では、モータが駆動リング332を回転させてロータ330を回転させる。他の実施形態と組み合わせることができる一実施形態では、駆動リング332はチャンバ本体302に対して固定され、電力が供給されると磁力を発生させてロータ330を磁気的に回転及び/又は浮上させる電気コイルを含む。ロータ330が回転すると、エッジリング314及びエッジリング314上に支持された基板236が、基板236の中心軸334の周りを回転する。
[0037]加熱ランプ326は、中心軸334の周りに概ねリング状に配置された加熱ゾーンに分割され得る。制御回路は、異なるゾーンにおいて加熱ランプ326に供給される電圧を変化させ、それによって放射エネルギーの半径方向分布を調整する。1又は複数の高温計340は、リフレクタ328の開孔を通して基板236の底面に向くように位置決めされた1又は複数の光学光パイプ342を通して結合されている。1又は複数の高温計340は、静止又は回転している基板236の半径にわたる温度を測定する。光パイプ342は、サファイア、金属、及びシリカ繊維を含む様々な構造で形成され得る。高温計340はエッジリング314の下方に配置され、エッジリング314は高温計340と複数の加熱ランプ326との間に配置される。他の実施形態と組み合わせることができる一実施形態では、反射コーティング350の膜が、加熱ランプ326の方を向いた窓320の側に配置され得る。他の実施形態と組み合わせることができる一実施形態では、反射コーティング351が、基板236の方を向いた窓320の側に配置される。図1に示す実装態様では、反射コーティング350及び351が窓320の両側に配置される。反射コーティング350及び351により、高温計帯域幅の放射が反射コーティング350及び351を通って伝搬するのがブロックされやすくなり、高温計340の正確な測定が促進される。
[0038]図4は、一実装態様に係るエッチングチャンバ400の概略部分断面図である。エッチングチャンバ400は、上述のようにアニールチャンバ300でアニールされた基板236を支持する基板支持アセンブリ401を含む。エッチングチャンバ400は、図1のシステム100のエッチングチャンバ124として使用することができる。エッチングチャンバ400は、プラズマ処理チャンバであってよい。エッチングチャンバ400は、極低温エッチング工程を実施するように構成された極低温エッチングチャンバである。極低温エッチング温度に維持された基板236をドライ反応性イオンエッチングすることにより、基板236上に配置された材料の上向き面にイオンを衝突させて自発エッチングを減少させ、滑らかで垂直な側壁を有するトレンチが形成されるようにすることができる。例えば、極低温エッチング温度に均一に維持された基板236上に配置された低誘電率誘電体材料の空隙におけるイオンの拡散は、イオンが低誘電率誘電体材料の上向き面に衝突し続ける間に減少し、滑らかで垂直な側壁を有するトレンチが形成される。更に、極低温処理温度では、ある材料と別の材料とのエッチングの選択性を向上させることができる。例えば、シリコン(Si)と二酸化ケイ素(SiO)の選択性は、温度が下がるにつれて指数関数的に増加する。
[0039]エッチングチャンバ400は、処理領域410を囲む側壁404、底部406及びリッド408を有するチャンバ本体402を含む。注入装置412が、チャンバ本体402の側壁404及び/又はリッド408に結合されている。ガスパネル414が注入装置412に結合され、プロセスガスが処理領域410内に供給されるようになっている。注入装置412は、1又は複数のノズル又は入口ポート、あるいは代替的にシャワーヘッドであってよい。プロセスガスは、あらゆる処理副生成物とともに、チャンバ本体402の側壁404又は底部406に形成された排気ポート416を通して処理領域410から除去される。排気ポート416は、処理領域410内の真空レベルを制御するために利用されるスロットルバルブ及びポンプを含むポンピングシステム440に結合される。
[0040]プロセスガスに電圧が印加されて、処理領域410内にプラズマが形成される。プロセスガスは、RF電力をプロセスガスに容量又は誘導結合させることによって電圧が印加され得る。他の実施形態と組み合わせることができる図示の実施形態では、複数のコイル418がエッチングチャンバ400のリッド408の上方に配置され、整合回路420を通してRF電源422に結合されている。基板支持アセンブリ401は、注入装置412の下方の処理領域410に配置される。基板支持アセンブリ401は、静電チャック(ESC)403及びESCベースアセンブリ405を含む。ESCベースアセンブリ405は、ESC403及び設備プレート407に結合されている。グラウンドプレート411によって支持された設備プレート407は、基板支持アセンブリ401との電気、冷却、加熱、及びガス接続を容易にするように構成されている。グラウンドプレート411は、エッチングチャンバ400の底部406によって支持されている。絶縁体プレート409は、グラウンドプレート411から設備プレート407を絶縁する。
[0041]ESCベースアセンブリ405は、極低温冷却装置417に結合されたベースチャネル450を含む。他の実施形態と組み合わせることができる一実施形態では、極低温冷却装置417は、ESCベースアセンブリ405が極低温エッチング温度に維持されるように、ベースチャネル450の入口に接続されたベース入口導管423を介して、及びベースチャネル450の出口に接続されたベース出口導管425を介して、ベースチャネル450に流体連結される。本明細書に記載の他の実施形態と組み合わせることができる一実施形態では、極低温冷却装置417は、ベース流体の流量を制御するためにインターフェースボックスに結合される。ベース流体は、0℃未満等の極低温エッチング温度を維持することができる材料を含み得る。極低温冷却装置417はベース流体を供給し、このベース流体はESCベースアセンブリ405のベースチャネル450内を循環する。ベースチャネル450を流れるベース流体は、ESCベースアセンブリ405を極低温エッチング温度に維持することを可能にし、これにより、ESC403の横方向の温度プロファイルを制御して、ESC403上に配置された基板236が極低温エッチング温度に均一に維持されるように支援する。本明細書に記載の他の実施形態と組み合わせることができる一実施形態では、極低温冷却装置417は、極低温温度を極低温エッチング温度に維持するように動作可能な単段冷却装置である。本明細書に記載の他の実施形態に組み合わせることができる別の実施形態では、極低温冷却装置417は、ベース流体が極低温エッチング温度に維持されるように2段冷却装置の内部の冷媒を利用する2段冷却装置である。
[0042]設備プレート407は、冷却装置419に結合された設備チャネル451を含む。冷却装置419は、設備プレート407が所定の周囲温度に維持されるように、設備チャネル451の入口に接続された設備入口導管427を介して、及び設備チャネル451の出口に接続された設備出口導管429を介して、設備チャネル451に流体連結される。他の実施形態と組み合わせることができる一実施形態では、極低温冷却装置417は、設備流体の流量を制御するためにインターフェースボックスに結合される。設備流体は、約0℃から約60℃の周囲温度を維持することができる材料を含み得る。冷却装置419は、設備プレート407の設備チャネル451内を循環する設備流体を供給する。設備チャネル451内を流れる設備流体は、設備プレート407を所定の周囲温度に維持することを可能にし、これにより絶縁体プレート409を周囲温度に維持することを支援する。
[0043]ESC403は、支持面430と、支持面430とは反対側の底面432とを有する。他の実施形態と組み合わせることができる一実施形態では、ESC403は、アルミナ(Al)、窒化アルミニウム(AlN)又は他の適切な材料等のセラミック材料から作製される。ESC403は、ポリイミド、ポリエーテルエーテルケトン、ポリアリールエーテルケトン等のポリマーから作製され得る。ESC403は、その中に配置されたチャッキング電極426を含む。チャッキング電極426は、RFフィルタ及び設備プレート407を介して、基板236をESC403の支持面430に静電固定するためのDC電力を供給するチャッキング電源434に結合される。RFフィルタは、エッチングチャンバ400内でプラズマ(図示せず)を形成するために利用されるRF電力が、電気機器に損傷を与えるのを、あるいはチャンバ外で電気的危険をもたらすのを防止する。
[0044]ESC403は、その中に埋め込まれた1又は複数の抵抗ヒータ428を含む。抵抗ヒータ428は、ESC403の温度を、支持面430上に配置された基板236を処理するのに適した極低温処理温度まで上昇させるために利用される。抵抗ヒータ428は、設備プレート407及びRFフィルタを通して、ヒータ電源436に結合される。RFフィルタは、エッチングチャンバ400内でプラズマ(図示せず)を形成するために利用されるRF電力が、電気機器に損傷を与えるのを、あるいはチャンバ外で電気的危険をもたらすのを防止する。ヒータ電源436は、抵抗ヒータ428に500ワット以上の電力を供給することができる。コントローラ120は、基板236を極低温エッチング温度に加熱するように通常設定されるヒータ電源436の動作を制御するために、ヒータ電源436に結合されている。他の実施形態と組み合わせることができる一実施形態では、抵抗ヒータ428は、複数の横方向に分離された加熱ゾーンを含み、コントローラ120は、抵抗ヒータ428の少なくとも1つのゾーンが、他のゾーンの1又は複数に位置する抵抗ヒータ428に対して優先的に加熱されることを可能にする。例えば、抵抗ヒータ428は、複数の分離された加熱ゾーンに同心状に配置され得る。抵抗ヒータ428は、基板236を処理に適した極低温エッチング温度に維持する。
[0045]基板支持アセンブリ401は、ESC403及び/又はESCベースアセンブリ405の温度を決定するためにその中に配置された1又は複数のプローブを含み得る。本明細書に記載の他の実施形態と組み合わせることができる一実施形態では、1又は複数の低温光プローブアセンブリがコントローラ120に結合される。本明細書に記載の他の実施形態と組み合わせることができる一実施形態では、各低温光プローブアセンブリは、抵抗ヒータ428の複数の横方向に分離された加熱ゾーンの一ゾーンに対応し、低温光プローブは、ESC403の各ゾーンの温度を測定する。コントローラ120は、抵抗ヒータ428の各ゾーンが独立して加熱されるようにヒータ電源436に結合され、これにより、温度測定に基づいてESC403の横方向の温度プロファイルが実質的に均一になり、ESC403上に配置された基板236が極低温エッチング温度に均一に維持される。
[0046]図5は、一実装態様に係る基板の処理方法500の概略図である。方法500の工程501は、基板(基板236等)を、図1及び図2に関して上述したように、堆積チャンバ101として使用される基板処理チャンバ200であってよい堆積チャンバ内に移送することを含む。
[0047]本方法の工程502は、堆積チャンバの基板上に1又は複数のアモルファスカーボンハードマスク層を堆積させることを含む。1又は複数のアモルファスカーボンハードマスク層は、基板の表側の面上に形成される複数のベース層上に堆積される。他の実施形態と組み合わせることができる一実施形態では、複数のベース層は、交互に配置された複数の酸化物層及び複数の窒素(窒化物等)層を含む。他の実施形態と組み合わせることができる一実施形態では、複数のベース層は、交互に配置された複数の酸化物層及び複数のシリコン(ポリシリコン等)層を含む。1又は複数のアモルファスカーボンハードマスク層及び複数のベース層は、基板の表側の面上に複数の膜スタックを形成するために使用される。堆積された1又は複数のアモルファスカーボンハードマスク層は、spマトリクス又はspマトリクスを有する。spマトリクス等の態様は、spマトリクスを必要とする工程と比較して、コストの削減とデバイス機能のモジュール性の向上を促進する。
[0048]1又は複数のアモルファスカーボンハードマスク層は、工程502における堆積工程中に堆積される。1又は複数のアモルファスカーボンハードマスク層は、300℃から750℃の範囲内である堆積温度で基板の複数のベース層上に堆積される。他の実施形態と組み合わせることができる一実施形態では、堆積温度は600℃から700℃の範囲内である。
[0049]1又は複数のアモルファスカーボンハードマスク層は、12Torr以下、例えば0.5Torrから12Torrの範囲内の堆積圧力で基板の複数のベース層上に堆積される。1又は複数のアモルファスカーボンハードマスク層は、7ミクロン以下、例えば0.1ミクロンから7ミクロンの範囲内のハードマスク厚に堆積される。本開示は、他のハードマスク厚の値が使用され得ることを企図する。本開示は、基板の1又は複数のアモルファスカーボンハードマスク層が、メモリデバイス又は論理デバイスを形成するために使用され得ることを企図する。複数のベース層上に堆積された1又は複数のアモルファスカーボンハードマスク層は、ハードマスク組成物を含む。ハードマスク組成物は炭素を含み、ホウ素、タングステン、及び/又は窒素等の1又は複数のドーパントを含み得る。
[0050]1又は複数のアモルファスカーボンハードマスク層の堆積は、基板を堆積チャンバ内に移送した後に堆積チャンバで行われる。1又は複数のアモルファスカーボンハードマスク層を堆積させることは、1又は複数の反応性前駆体ガスを堆積チャンバ内に流し、堆積チャンバでプラズマを発生させて反応物を複数のベース膜上に堆積させ、1又は複数のアモルファスカーボンハードマスク層を形成することを含む。1又は複数の反応性前駆体ガスは、N、H、C及び/又はC等の炭素、水素、及び/又は窒素のうちの1又は複数を含む。プラズマを発生させることは、プラズマを発生させるために電力(無線周波電力等)を印加しながら、1又は複数の不活性ガスを堆積チャンバ内に流すことを含む。1又は複数の不活性ガスは、ヘリウム及び/又はアルゴンのうちの1又は複数を含む。プラズマは、不活性安定化プラズマである。1又は複数の反応性前駆体ガスは、100標準立方センチメートル/分(SCCM)から2000SCCMの範囲内の第1の流量で流れる。1又は複数の不活性ガスは、0SCCMから11900SCCMの範囲内の第2の流量で流れる。総流量は、第1の流量と第2の流量を合計したものである。総流量は、1000SCCMから12000SCCMの範囲内である。
[0051]基板は、工程503において、堆積チャンバからアニールチャンバ(アニールチャンバ102として使用されるアニールチャンバ300等)内に移送される。基板は、室温等の周囲温度でアニールチャンバ内に移送される。
[0052]方法500の工程504は、基板に急速熱アニール工程を実施することを含む。急速熱アニール工程は、アニールチャンバで実施される。急速熱アニール工程は、アニール時間持続する。アニール時間は60秒以下、例えば2秒から30秒の範囲内である。他の実施形態と組み合わせることができる一実施形態では、アニール時間は8秒から12秒の範囲内、例えば10秒である。
[0053]急速熱アニール工程は、600℃から1000℃の範囲内のアニール温度に基板を加熱することと、アニール時間の残り時間のアニール温度を維持することとを含む。他の実施形態と組み合わせることができる一実施形態では、アニール温度は880℃から920℃の範囲内、例えば900℃である。アニール温度は、基板の裏側の面、基板の表側の面、1又は複数のアモルファスカーボンハードマスク層の表面、及び/又は複数のベース層の表面で測定され得る。基板は、複数の加熱ランプ、1又は複数のアニールレーザ、及び/又は基板が支持されるペデスタルに埋め込まれた1又は複数の抵抗ヒータ要素のうちの1又は複数を用いて加熱される。基板は、基板の上方から、及び/又は基板の下方から加熱することができる。
[0054]急速熱アニール工程は、アニールチャンバ内にアニールガス組成物を流すことと、基板を加熱しながら基板をアニールガス組成物に暴露することとを含む。アニールガス組成物は、1又は複数の不活性ガスを含む。アニールガス組成物は、アルゴン、窒素、ヘリウム、及び/又は水素のうちの1又は複数を含む。アニールガス組成物は、14000SCCMから16000SCCMの範囲内、例えば約15000SCCMのアニール流量で流れる。急速熱アニール工程は、アニール圧力で実施される。他の実施形態と組み合わせることができる一実施形態では、アニール圧力は、室圧(例えば、約760Torr)等の周囲圧力である。他の実施形態と組み合わせることができる一実施形態では、アニール圧力は250mTorrから760Torrの範囲内である。
[0055]急速熱アニール工程の後、基板の1又は複数のアモルファスカーボンハードマスク層は、急速熱アニール工程によって変化した膜応力を有する。他の実施形態と組み合わせることができる一実施形態(アニールチャンバに入る基板が100MPaを超える膜応力を有する場合等)では、急速熱アニール工程によって、1又は複数のアモルファスカーボンハードマスク層の膜応力が100MPa以下となるように低減される。他の実施形態と組み合わせることができる一実施形態(アニールチャンバに入る基板が圧縮である膜応力を有する場合等)では、急速熱アニール工程は、1又は複数のアモルファスカーボンハードマスク層の膜応力を引張に変化させる。急速熱アニール工程により、基板の反りが減少する。
[0056]急速熱アニール工程の後、基板の1又は複数のアモルファスカーボンハードマスク層は、14%以下、例えば5%から12%の範囲内、又は5%から10%の範囲内の水素含有量を有する。水素含有量は、例えば、水素前方散乱分析法(HFS)を用いて決定することができる。急速熱アニール工程の後、基板の1又は複数のアモルファスカーボンハードマスク層は、40GPa以上のヤング率、例えば45GPaから60GPaの範囲内のヤング率を有する。急速熱アニール工程の後、基板の1又は複数のアモルファスカーボンハードマスク層は、1.75g/cm以上、例えば1.81g/cmから1.90g/cmの範囲内の膜密度を有する。この膜密度により、他のアニール工程と比較して、急速熱アニール工程中の膜損失を減らすことが容易になる。急速熱アニール工程の後、基板の1又は複数のアモルファスカーボンハードマスク層は、極低温エッチング工程(工程508に関連して説明したエッチング等)の間、複数のベース層に対するエッチング選択性を有する。エッチング選択性は1.0以上、例えば1.01から1.10の範囲内である。このエッチング選択性により、極低温エッチング工程における高いエッチング選択性が促進される。
[0057]基板は、工程505において、アニールチャンバからリソグラフィチャンバ(リソグラフィチャンバ123等)内に移送される。
[0058]方法500の工程506は、基板をパターニングすることを含む。パターニングは、フォトリソグラフィ工程等のリソグラフィ工程を基板に実施することを含み得る。基板のパターニングは、リソグラフィチャンバで実施される。他の実施形態と組み合わせることができる一実施形態では、1又は複数の光エミッタ(空間光変調器の光エミッタ等)によって基板上に光を射影して基板をパターニングする。
[0059]基板は、工程507において、リソグラフィチャンバからエッチングチャンバ(エッチングチャンバ124として使用されるエッチングチャンバ400等)内に移送される。
[0060]方法500の工程508は、基板をエッチングすることを含む。エッチングは、エッチングチャンバで実施される。基板をエッチングすることは、エッチング温度で基板にエッチング工程を実施することを含む。エッチング温度は、0℃以下の極低温エッチング温度である。他の実施形態と組み合わせることができる一実施形態では、極低温エッチング温度は、-20℃以下、又は-50℃以下である。他の実施形態と組み合わせることができる一実施形態では、極低温エッチング温度は、-120℃から0℃の範囲内である。エッチングは、基板をテトラエチルオルソシリケート(TEOS)等のエッチングガスに暴露することを含む。
[0061]工程504の急速熱アニール工程は、工程502の1又は複数のアモルファスカーボンハードマスク層の堆積後、工程506の基板のパターニングの前に実施される。工程504の急速熱アニール工程は、工程508の基板のエッチングの前に実施される。他の実施形態と組み合わせることができる一実施形態では、工程506の基板のパターニングは、工程508の基板のエッチングの前に実施される。
[0062]工程501~508のうちの1又は複数を繰り返すことができる。他の実施形態と組み合わせることができる一実施形態では、工程502は、1.5ミクロンである第1のハードマスク厚を堆積させるために実施され、工程504は、第1のハードマスク厚をアニールするために実施される。工程502を繰り返して1.5ミクロンの第2のハードマスク厚を堆積させ、工程504を実施して第2のハードマスク厚をアニールする。工程502、504を繰り返すことにより、3ミクロンの総ハードマスク厚、並びに引張膜応力及び/又は100MPa以下の膜応力等の中性膜応力を有するハードマスクを容易に形成することができる。
[0063]本開示の利点には、アモルファスカーボンハードマスク層の圧縮応力の変化(例えば、圧縮応力の減少、又は圧縮応力の引張応力への変化等)、極低温エッチング工程と共に使用する場合等のアモルファスカーボンハードマスク層のエッチング選択性の向上、水素含有量の減少、アニール時間の短縮、アモルファスカーボンハードマスク層の損失の減少、及び特性、例えばヤング率等の機械的特性の改善が含まれる。また、本開示の利点には、基板の反りの低減、基板の裏側の面への堆積の必要性の低減、高い堆積温度の必要性の低減、spカーボンの使用の必要性の低減、工程時間の短縮、デバイス性能の向上、資源の消費削減、スループットの向上、及びハードマスク層の損失の低減が含まれる。本明細書に開示される1又は複数の態様を組み合わせることができることが企図される。一例として、システム100、基板処理チャンバ200(堆積チャンバ)、アニールチャンバ300、エッチングチャンバ400、及び/又は方法500の1又は複数の態様、特徴、構成要素、及び/又は特性を組み合わせることができる。更に、本明細書に開示される1又は複数の態様は、前述の利点の一部又は全部を含み得ることが企図される。
[0064]本明細書に記載の態様は、堆積温度を上昇させること(これは、堆積チャンバの使用のモジュール性を低下させ得る)を伴う他の工程、spカーボンハードマスクを使用することを必要とする工程、及び基板の反りを考慮するために基板の裏側への堆積を伴う工程に優って、前述の利点を達成する。
[0065]本明細書に記載の工程パラメータは、アモルファスカーボンハードマスク層の極低温エッチングにおける中性膜応力、高いヤング率、及び高いエッチング選択性を促進し、同時に高い膜密度(膜損失の低減の促進)を促進する。このような工程パラメータには、例えば、アニール時間、アニール温度、アニール圧力、アニールガス組成、及びアニール流量が含まれる。他の工程では、実質的に低いエッチング選択性、実質的な膜の損失、又は圧縮及び/もしくは100MPaを超える膜応力のいずれかが生じる可能性があるため、本明細書に開示される工程パラメータは予想外の結果を促進する。例えば、本明細書に開示されるアニール温度及びアニール時間を使用する場合、人は、膜密度(及び膜損失)が予想外であると感じるかもしれない。別の例として、人は、本明細書に開示される工程パラメータを使用して、ヤング率等の機械的特性が達成されることが予想外であると感じるかもしれない。予想外の結果は、例えば、使用するアニール温度、使用するアニール時間、及び急速熱アニール工程後の水素含有量によって促進されると考えられる。
[0066]上記は本開示の実施形態を対象としたものであるが、本開示の他の及び更なる実施形態を、その基本的範囲から逸脱することなく考案することが可能である。本開示はまた、本明細書に記載の実施形態の1又は複数の態様が、記載の他の態様の1又は複数に置換され得ることを企図する。本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (20)

  1. 基板の処理方法であって、
    前記基板上に1又は複数のアモルファスカーボンハードマスク層を堆積させることと、
    前記1又は複数のアモルファスカーボンハードマスク層を堆積させた後に、前記基板に急速熱アニール工程を実施することであって、前記急速熱アニール工程は、60秒以下のアニール時間持続し、前記急速熱アニール工程は、
    前記基板を600℃から1000℃の範囲内のアニール温度に加熱すること
    を含む、前記基板に急速熱アニール工程を実施することと、
    前記急速熱アニール工程を実施した後に、前記基板をエッチングすることと
    を含む方法。
  2. 前記基板をエッチングすることは、0℃以下のエッチング温度で前記基板にエッチング工程を実施することを含む、請求項1に記載の方法。
  3. 前記1又は複数のアモルファスカーボンハードマスク層は、spマトリクス又はspマトリクスを有する、請求項1に記載の方法。
  4. 前記アニール時間は8秒から12秒の範囲内であり、前記アニール温度は880℃から920℃の範囲内であり、前記急速熱アニール工程は250mTorrから760Torrの範囲内のアニール圧力で実施される、請求項1に記載の方法。
  5. 前記急速熱アニール工程は更に、基板をアニールガス組成物に曝露することを含み、前記アニールガス組成物は、アルゴン、窒素、ヘリウム、及び水素のうちの1又は複数を含む、請求項4に記載の方法。
  6. 1又は複数のアモルファスカーボンハードマスク層は、300℃から750℃の範囲内の堆積温度及び12Torr以下の堆積圧力で前記基板上に堆積される、請求項5に記載の方法。
  7. 急速熱アニール工程を実施した後に、前記基板をパターニングすることを更に含む、請求項6に記載の方法。
  8. 前記1又は複数のアモルファスカーボンハードマスク層は、7ミクロン以下のハードマスク厚を含む、請求項1に記載の方法。
  9. 前記急速熱アニール工程は、前記1又は複数のアモルファスカーボンハードマスク層の膜応力を100MPa以下に変化させる、請求項1に記載の方法。
  10. 前記急速熱アニール工程は、前記1又は複数のアモルファスカーボンハードマスク層の膜応力を引張力に変化させる、請求項1に記載の方法。
  11. 基板を処理するための非一過性コンピュータ可読媒体であって、実行されると、
    前記基板上に1又は複数のアモルファスカーボンハードマスク層を堆積させることと、
    前記1又は複数のアモルファスカーボンハードマスク層を堆積させた後に、前記基板に急速熱アニール工程を実施することであって、前記急速熱アニール工程は、60秒以下のアニール時間持続し、前記急速熱アニール工程は、
    前記基板を600℃から1000℃の範囲内のアニール温度に加熱すること
    を含む、前記基板に急速熱アニール工程を実施することと、
    前記急速熱アニール工程を実施した後に、前記基板をエッチングすることと
    を含む複数の工程を実施させる命令を含む、非一過性コンピュータ可読媒体。
  12. 前記基板をエッチングすることは、0℃以下のエッチング温度で前記基板にエッチング工程を実施することを含む、請求項11に記載の非一過性コンピュータ可読媒体。
  13. 前記1又は複数のアモルファスカーボンハードマスク層は、spマトリクス又はspマトリクスを有する、請求項11に記載の非一過性コンピュータ可読媒体。
  14. 前記アニール時間は8秒から12秒の範囲内であり、前記アニール温度は880℃から920℃の範囲内である、請求項11に記載の非一過性コンピュータ可読媒体。
  15. 前記急速熱アニール工程は更に、前記基板をアニールガス組成物に暴露することを含み、前記アニールガス組成物は、アルゴン、窒素、ヘリウム、及び水素のうちの1又は複数を含む、請求項14に記載の非一過性コンピュータ可読媒体。
  16. 1又は複数のアモルファスカーボンハードマスク層は、300℃から750℃の範囲内の堆積温度及び12Torr以下の堆積圧力で前記基板上に堆積される、請求項15に記載の非一過性コンピュータ可読媒体。
  17. 前記複数の工程は更に、急速熱アニール工程の後に前記基板をパターニングすることを含む、請求項16に記載の非一過性コンピュータ可読媒体。
  18. 前記急速熱アニール工程は、前記1又は複数のアモルファスカーボンハードマスク層の膜応力を100MPa以下に変化させる、請求項11に記載の非一過性コンピュータ可読媒体。
  19. 基板の処理システムであって、
    堆積チャンバと、
    アニールチャンバと、
    前記堆積チャンバ及び前記アニールチャンバに結合された移送チャンバと、
    極低温エッチングチャンバと、
    コントローラであって、実行されると、
    前記堆積チャンバに、前記基板上に1又は複数のアモルファスカーボンハードマスク層を堆積させることと、
    前記基板上に前記1又は複数のアモルファスカーボンハードマスク層が堆積された後に、前記アニールチャンバに、前記基板に急速熱アニール工程を実施することであって、前記急速熱アニール工程は、60秒以下のアニール時間持続し、前記急速熱アニール工程は、
    前記基板を600℃から1000℃の範囲内のアニール温度に加熱すること
    を含む、前記基板に急速熱アニール工程を実施することと、
    前記急速熱アニール工程が実施された後に、前記極低温エッチングチャンバに、前記基板をエッチングさせることと
    を実施させる命令を含む、コントローラと
    を備えるシステム。
  20. 前記堆積チャンバ、前記アニールチャンバ、及び前記移送チャンバは、クラスタツールのフレームに取り付けられる、請求項19に記載のシステム。
JP2023550030A 2021-02-18 2022-02-14 1又は複数のアモルファスカーボンハードマスク層を用いた基板処理の方法、システム、及び装置 Pending JP2024507833A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/179,103 US11694902B2 (en) 2021-02-18 2021-02-18 Methods, systems, and apparatus for processing substrates using one or more amorphous carbon hardmask layers
US17/179,103 2021-02-18
PCT/US2022/016255 WO2022177836A1 (en) 2021-02-18 2022-02-14 Methods, systems, and apparatus for processing substrates using one or more amorphous carbon hardmask layers

Publications (1)

Publication Number Publication Date
JP2024507833A true JP2024507833A (ja) 2024-02-21

Family

ID=82801438

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023550030A Pending JP2024507833A (ja) 2021-02-18 2022-02-14 1又は複数のアモルファスカーボンハードマスク層を用いた基板処理の方法、システム、及び装置

Country Status (6)

Country Link
US (2) US11694902B2 (ja)
JP (1) JP2024507833A (ja)
KR (1) KR20230144636A (ja)
CN (1) CN116941014A (ja)
TW (1) TW202240668A (ja)
WO (1) WO2022177836A1 (ja)

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6440878B1 (en) 2000-04-03 2002-08-27 Sharp Laboratories Of America, Inc. Method to enhance the adhesion of silicon nitride to low-k fluorinated amorphous carbon using a silicon carbide adhesion promoter layer
US6900002B1 (en) * 2002-11-19 2005-05-31 Advanced Micro Devices, Inc. Antireflective bi-layer hardmask including a densified amorphous carbon layer
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
KR100880326B1 (ko) 2006-09-29 2009-01-28 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20090016857A (ko) 2007-08-13 2009-02-18 주식회사 하이닉스반도체 반도체 소자 제조 방법
KR101130065B1 (ko) 2008-01-30 2012-03-29 도쿄엘렉트론가부시키가이샤 어모퍼스 하이드로 카본막의 후처리 방법 및 그의 방법을 사용한 전자 디바이스의 제조 방법, 및 관련 기억 매체 및 관련 처리 시스템
US20140263173A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Methods for improving etching resistance for an amorphous carbon film
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
WO2015105651A1 (en) 2014-01-08 2015-07-16 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US9583358B2 (en) * 2014-05-30 2017-02-28 Samsung Electronics Co., Ltd. Hardmask composition and method of forming pattern by using the hardmask composition
US9741581B2 (en) * 2016-01-11 2017-08-22 Globalfoundries Inc. Using tensile mask to minimize buckling in substrate
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch

Also Published As

Publication number Publication date
US11694902B2 (en) 2023-07-04
CN116941014A (zh) 2023-10-24
KR20230144636A (ko) 2023-10-16
WO2022177836A1 (en) 2022-08-25
US20220262643A1 (en) 2022-08-18
TW202240668A (zh) 2022-10-16
US20230317463A1 (en) 2023-10-05

Similar Documents

Publication Publication Date Title
JP5014985B2 (ja) 基材を処理するためのプロセス加工システムおよび方法
US8409399B2 (en) Reduced maintenance chemical oxide removal (COR) processing system
US7862683B2 (en) Chamber dry cleaning
JP4745958B2 (ja) 基板を熱処理する処理システムおよび方法
TWI574587B (zh) A plasma processing apparatus, and a plasma processing method
EP1604388B1 (en) Processing system and method for chemically treating a substrate
EP1604387B1 (en) Processing system and method for treating a substrate
JP5320171B2 (ja) 基板処理装置
JP2008502134A (ja) 基材を処理するためのプロセス加工システムを動作させる方法
US20050218114A1 (en) Method and system for performing a chemical oxide removal process
US20060228818A1 (en) Edge temperature compensation in thermal processing particularly useful for SOI wafers
JP2007531306A (ja) 分圧を使用して化学的酸化物除去プロセスを調整するための方法およびシステム
KR20060135678A (ko) 기판을 처리하기 위한 처리 시스템 및 방법
US20230377958A1 (en) Cluster processing system for forming a metal containing material
US11694902B2 (en) Methods, systems, and apparatus for processing substrates using one or more amorphous carbon hardmask layers
JP2005259902A (ja) 基板処理装置
CN111316415A (zh) 无等离子体脱卤的系统和方法
US20230274949A1 (en) Etching of indium gallium zinc oxide
US20230335377A1 (en) Showerhead assembly with heated showerhead
TW202217960A (zh) 蝕刻方法及電漿處理裝置
KR20220117945A (ko) 식각 처리 장치 및 식각 처리 방법