JP5014985B2 - 基材を処理するためのプロセス加工システムおよび方法 - Google Patents

基材を処理するためのプロセス加工システムおよび方法 Download PDF

Info

Publication number
JP5014985B2
JP5014985B2 JP2007515123A JP2007515123A JP5014985B2 JP 5014985 B2 JP5014985 B2 JP 5014985B2 JP 2007515123 A JP2007515123 A JP 2007515123A JP 2007515123 A JP2007515123 A JP 2007515123A JP 5014985 B2 JP5014985 B2 JP 5014985B2
Authority
JP
Japan
Prior art keywords
substrate
chamber portion
temperature
lower chamber
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007515123A
Other languages
English (en)
Other versions
JP2008502135A (ja
Inventor
マーティン・ケント
アーサー・エイチ・ラフラーム・ジュニア
ジェイ・ウォレス
トーマス・ハメリン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2008502135A publication Critical patent/JP2008502135A/ja
Application granted granted Critical
Publication of JP5014985B2 publication Critical patent/JP5014985B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Description

本PCT出願は、2004年6月4日出願の米国特許非仮出願第10/860,149号に関連し、同号の優先権を主張する。参照によって同号の内容全体を本明細書に組み込む。
本出願は、2003年11月12日出願の「基材を処理するためのプロセス加工システムおよび方法」と題する係属中の米国特許出願第10/705,201号、2003年11月12日出願の「基材を化学的に処理するためのプロセス加工システムおよび方法」と題する係属中の米国特許出願第10/705,200号、2003年11月12日出願の「基材を熱的に処理するためのプロセス加工システムおよび方法」と題する係属中の米国特許出願第10/704,969号、2003年11月12日出願の「隣接する温度制御チャンバを断熱するための方法および装置」と題する係属中の米国特許出願第10/705,397号、2004年3月30日出願の「基材を処理するためのプロセス加工システムおよび方法」と題する係属中の米国特許出願第10/812,347号、および2004年6月4日出願の「基材を処理するためのプロセス加工システムを動作させる方法」と題する同時係属中の米国特許出願第10/859,975号の関連特許である。参照によってそれらすべての出願の内容全体を本明細書に全体として組み込む。
本発明は、基材(基板)を処理するためのシステムおよび方法に関する。より詳しくは、本発明は、基材の化学処理および熱処理のためのシステムおよび方法に関する。
半導体プロセス加工時に、(ドライ)プラズマエッチングプロセスを利用して、シリコン基材の上にパターン形成された微細なラインに沿って、あるいはビアホールまたはコンタクトホールの中にある材料を除去し、あるいはエッチングすることができる。一般に、プラズマエッチングプロセスは、パターン形成された保護層、例えばフォトレジスト層の下にある半導体基材をプロセス加工チャンバの中に配置することを含む。基材をチャンバ内に配置したら、イオン化可能な解離ガス混合物を予め指定した流量でチャンバ内に導入し、一方、真空ポンプを絞って環境プロセス圧力を実現する。その後、存在するガス化学種のある比率が、誘導型または容量型のどちらかによる高周波(RF)電力の移動によって、あるいは、例えば電子サイクロトロン共鳴(ECR)を用いるマイクロ波電力によって、加熱された電子によってイオン化されると、プラズマが発生する。
さらに、加熱された電子を使用して環境ガス化学種のうちのいくつかの化学種を解離させ、露出された表面のエッチング化学反応に適する反応剤化学種(単数または複数)を発生させる。プラズマが発生すると、基材の選ばれた表面はプラズマによってエッチングされる。プロセスを調節して所望の反応剤の適切な濃度およびイオンポピュレーションを含む適切な条件を実現し、基材の選ばれた領域の中にさまざまな構成要素(例えばトレンチ、ビアホール、コンタクトホール、ゲート等)をエッチングする。エッチングを必要とするそのような基材材料は、二酸化シリコン(SiO)、低誘電率材料、ポリシリコンおよび窒化シリコンを含む。
一般に、材料プロセス加工においてそのような構成要素をエッチングすることは、マスク層内に形成したパターンを、下方にあってそれぞれの構成要素を内部に形成する膜へ転写することを含む。例えば、マスクは、(ネガ型またはポジ型)フォトレジストなどの感光材料、フォトレジストおよび反射防止膜(ARC)などの層を含む多層、またはフォトレジストなどの第一の層の中のパターンを下にあるハードマスク層へ転写することによって形成されたハードマスクを含んでもよい。
本発明は、基材を処理するためのシステムおよび方法に関する。本発明は、基材を化学処理および熱処理するためのシステムおよび方法に関する。
本発明の一実施態様では、基材の上に構成要素を形成するためのプロセス加工システムであって、基材の上の露出された表面層を化学変化させるための下部チャンバ部分と、基材の上の化学変化した表面層を熱処理するための上部チャンバ部分とを有するプロセスチャンバ、およびプロセスチャンバに結合され、下部チャンバ部分と上部部分との間で基材を輸送するように構成された基材昇降アセンブリを備えるシステムが提供される。
さらに、本発明の別の実施態様では、基材の上に構成要素を形成するためにプロセス加工システムを動作させる方法であって、プロセスチャンバの下部チャンバ部分の中に基材を移動させる工程、基材の上の露出された表面層を化学変化させるために下部チャンバ部分の中の基材を化学処理する工程、プロセスチャンバの下部チャンバ部分から上部チャンバ部分へ基材を移動させる工程、および化学変化した表面層を脱着させるために上部チャンバ部分の中の基材を熱処理する工程を含む方法が提供される。
次に、添付の概略図を参照して、例示的に本発明の実施態様を説明する。図中、対応する参照符号は対応する部品を示す。
材料プロセス加工方法において、パターンエッチングは、フォトレジストなどの感光材料の薄い層を基材(基板)の上部表面に塗布し、エッチング時にこのパターンを下にある薄膜へ転写するためのマスクを設けるために続いてパターン形成することを含む。一般に、感光性材料のパターン形成は、例えばマイクロリソグラフィーシステムを用いて、レチクル(および関連光学部品)を通して放射源によって感光性材料を露光し、続いて現像溶媒を用いて感光性材料の照射領域(ポジ型フォトレジストの場合)または非照射領域(ネガ型レジストの場合)を除去することを含む。
さらに、薄膜中の構成要素をエッチングするために、多層およびハードマスクを設けてもよい。例えば、ハードマスクを用いて薄膜中の構成要素をエッチングするとき、薄膜を作るための主エッチング工程の前に、別のエッチング工程を用いて感光層中のマスクパターンをハードマスク層に転写する。ハードマスクは、例えば二酸化シリコン(SiO)、窒化シリコン(Si)または炭素を含むがこれらに限定されないシリコンプロセス加工用のいくつかの材料から選んでもよい。
薄膜中に形成される構成要素サイズを小さくするために、例えば、ハードマスク層の表面化学物質を変化させるためのハードマスク層の露出された表面の化学処理と、変化した表面化学物質を脱着するためのハードマスク層の露出された表面の後処理とを含む二段階プロセスを用いて、ハードマスクを横方向に形成してもよい。
一実施態様によれば、図1Aおよび1Bは、例えばマスク層形成を用いて基材をプロセス加工するためのプロセス加工システム1の平面図および側面図をそれぞれ示す。プロセス加工システム1は、下部チャンバ部分12と上部チャンバ部分14とを有する処理システム10を備える(図1B参照)。例えば、処理システム10は、下部チャンバ部分12で基材の化学処理、上部チャンバ部分14で基材の熱処理を実行するように構成するとよい。さらに、図1Aに例を示したように、基材を処理システム10の中に、および処理システムの中から移動させ、複数要素製造システム40と基材を交換するために、移動システム30を処理システム10と結合してもよい。
処理システム10および移動システム30は、例えば、複数要素製造システム40中のプロセス加工要素を備えてもよい。例えば、複数要素製造システム40は、エッチングシステム、析出システム、コーティングシステム、パターン形成システム、計測システム等などのデバイスを含むプロセス加工要素へと、また、このプロセス加工要素から基材を移動させることができるようにしてもよい。処理システム中で実行されるプロセスを移動システム30から断熱するために、断熱アセンブリ50を利用して各システムを結合してもよい。例えば、断熱アセンブリ50は、断熱を提供する断熱アセンブリ、および真空断熱を提供するゲートバルブアセンブリの少なくとも一つを備えてもよい。
あるいは、別の実施態様では、図1Cは、マスク層形成などのプロセスを用いて基材を加工するためのプロセス加工システム11を示す。プロセス加工システム11は、下部チャンバ部分12と上部チャンバ部分14とを有する(図1Bを参照)一つ以上の処理システム10を備える。しかし、処理システム10は移動システム32に結合されてクラスタツールを構成する。処理システムの中で実行されるプロセスを移動システム32から断熱するために、断熱アセンブリ50を利用して各システムを結合してもよい。例えば、断熱アセンブリ50は、断熱を提供する断熱アセンブリ、および真空断熱を提供するゲートバルブアセンブリの少なくとも一つを備えてもよい。
次に、図2Aおよび2Bを参照して、基材の化学処理および熱処理を実行するためのプロセス加工システム100を示す。プロセス加工システム100は、基材135を化学処理空間106の中で化学処理するための下部チャンバ部分104と、基材135を熱処理空間110の中で熱処理するための上部チャンバ部分108とを有するプロセスチャンバ102を備える。下部チャンバ部分104を温度制御してもよく、上部チャンバ部分108を温度制御してもよい。断熱アセンブリ112を用いて下部チャンバ部分104と上部チャンバ部分108とを互いに断熱してもよい。さらに、ゲートバルブ170およびバルブ駆動システム172(図2Aに示してある)など、オプションの真空断熱アセンブリを用いて下部チャンバ部分104と上部チャンバ部分108とを互いに真空断熱してもよい。
次に、図2Aを参照すると、下部チャンバ部分104は基材135を支持するように構成された基材ホルダ130を備える。基材ホルダ130は、基材135の温度を加熱し、冷却し、または制御するように構成してもよい。基材ホルダ130に結合され、並進ドライブシステム142を用いて基材135を基材ホルダ130の上部表面から上下させるように、基材昇降アセンブリ140が構成される。さらに、下部チャンバ部分104は、基材135を化学処理するために下部チャンバ部分104の中の化学処理空間106に一種類以上のプロセスガスを導入するためのガス注入システム120と、下部チャンバ部分104を排気するためのポンプシステム125とをさらに備える。
図2Aをさらに参照すると、上部チャンバ部分108は、下記でより詳しく考察する放射加熱アセンブリなど、基材135の温度を上昇させるための加熱アセンブリ160を備える。さらに、上部チャンバ部分108は、上部チャンバ部分108の中の熱処理空間110にパージガスを導入するためのガスパージシステム150と、上部チャンバ部分108を排気するためのポンプシステム155とをさらに備える。
さらに、図2Aおよび2Bに示すように、プロセス加工システム100は、プロセス加工システムに結合され、プロセス加工システムを制御するように構成されたコントローラ180をさらに備える。
さらに、プロセス加工システム100は、移動用開口部(図示せず)をさらに備え、この開口部を通して基材を移動させるとよい。プロセス加工時に、例えばプロセス加工システムと、移動システムなどの他のシステムとの間の汚染を防ぐために、ゲートバルブアセンブリを用いて移動開口部を密閉するとよい。例えば、図には示していないが、プロセスチャンバ102の下部チャンバ部分104の中に移動用開口部を形成するとよい。
上記で説明したように、例えばフィルム層の表面化学物質を変化させるためのフィルム層の露出された表面の化学処理と、変化した表面化学物質を脱着するためのフィルム層の露出された表面の熱処理とを含む二段階プロセスを用いて、基材135の上にフィルム層を形成するとよい。図1Aに例を示したように、基材昇降アセンブリ140をその化学処理位置まで下降させるとよい。その位置で、基材135を基材ホルダ130の上部表面に結合する。この時間の間、下部チャンバ部分104を断熱アセンブリ112によって上部チャンバ部分108から断熱し、オプションとして、ゲートバルブ170によって上部チャンバ部分108から真空断熱してもよい。基材135を化学処理するために、ガス注入システム120を用いて一種類以上のプロセスガスを導入してもよく、ポンプシステム125を用いて下部チャンバ部分104を排気してもよい。化学処理プロセスが完了したら、基材昇降アセンブリ140を図2Bに示すようにその熱処理位置に上昇させるとよい。その位置で、基材昇降アセンブリは基材リップ144を用いて基材135を固定し、下部チャンバ部分104から上部チャンバ部分108へ基材135を上昇させ、チャンバリップ146によって下部チャンバ部分104を上部チャンバ部分108から断熱する。
図2Aおよび図2Bに例を示すように、下部チャンバ部分104は、基材135を熱制御し、プロセス加工するいくつかの操作機能を提供するように構成された基材ホルダ130を備える。基材ホルダ130は、基材135を基材ホルダ130に電気的に(または機械的に)固定するために、静電クランプシステム(または機械クランプシステム)を備えるとよい。さらに、基材ホルダ130は、例えば、基材ホルダ130から熱を吸収し、熱交換器システム(図に示していない)に熱を放出するか、あるいは加熱するときに熱交換器システムから熱を吸収する再循環冷却剤流を有する冷却システムをさらに備えてもよい。
さらに、例えば、背面ガスシステムによって基材135の背面に伝熱ガスを供給して、基材135と基材ホルダ130との間のガスギャップ熱伝導率を改善してもよい。例えば、基材135の背面に供給される伝熱ガスは、ヘリウム、アルゴン、キセノン、クリプトン、プロセスガスなどの不活性ガス、あるいは酸素、窒素または水素などの他のガスを含んでもよい。高温または低温で基材の温度制御が必要なとき、そのようなシステムを利用してもよい。例えば、背面ガスシステムは、二ゾーン(中心‐端)システムなどの複数ゾーンガス分配システムを含んでもよく、その場合、基材135の中心と端との間で背面ガスギャップ圧力を独立に変化させてもよい。その他の実施態様では、基材ホルダ130ならびにプロセスチャンバ102の下部チャンバ部分104のチャンバ壁の中の抵抗加熱素子または熱電加熱器/冷却器などの加熱/冷却素子を備えてもよい。
例えば、図3は、上記で特定された機能のいくつかを実行するための温度制御された基材ホルダ200を示す。基材ホルダ200は、プロセスチャンバ102の下部チャンバ部分104の下部壁に結合されたチャンバ合わせ構成部品210、チャンバ合わせ構成部品210に結合された断熱構成部品212、および断熱構成部品212に結合された温度制御構成部品214を備える。チャンバ合わせ構成部品および温度制御構成部品210,214は、例えば、アルミニウム、ステンレス鋼、ニッケル等などの電気および熱を伝導する材料から製造するとよい。断熱構成部品212は、例えば、石英、アルミナ、テフロン(登録商標)等などの比較的低い熱伝導率を有する耐熱性材料から製造するとよい。
温度制御構成部品214は、冷却チャネル、加熱チャネル、抵抗加熱素子または熱電素子などの温度制御素子を備えるとよい。例えば、図3に例を示したように、温度制御構成部品214は冷却剤入口222および冷却剤出口224を有する冷却チャネル220を備える。冷却剤チャネル220は、温度制御構成部品214の伝熱‐対流冷却を提供するために、例えば、水、フルオルイナート(Fluorinert)、ガルデン(Galden)HT−135等などの冷却剤のある流量を可能にする温度制御構成部品214内のらせん形通路であってもよい。あるいは、冷却剤チャネル220を二つ以上の冷却剤ゾーンに区分し、各ゾーンを独立に制御してもよい。
さらに、温度制御構成部品214は、それぞれの素子を通る電流の流れの方向に依存して基材を加熱または冷却することができる熱電素子のアレイを備えてもよい。熱電素子の一例は、アドバンストサーモエレクトリック(Advanced Thermoelectric)が市販しているST‐127‐1.4‐8.5M型のもの(40mm×40mm×3.4mm、最大伝熱出力72Wの熱電デバイス)である。
さらに、基材ホルダ200は、セラミック層230、その中に埋め込まれたクランプ電極232、および電気的結線236を用いてクランプ電極232に結合された高電圧(HV)直流電圧電源234を含む静電クランプ(ESC)228をさらに備えてもよい。ESC228は、例えば単極性であってもよく、あるいは双極性であってもよい。そのようなクランプの設計および実体化は、静電クランプシステムの当業者には公知である。
さらに、基材ホルダ200は、少なくとも一つのガス供給ライン242と、複数のオリフィスおよびチャンネルの少なくとも一つとを通して、ヘリウム、アルゴン、キセノン、クリプトン、プロセスガスを含むがこれらに限定されない不活性ガス、あるいは酸素、窒素または水素を含む他のガスなどの伝熱ガスを、基材135の背面に供給するための背面ガス供給システム240をさらに備えてもよい。背面ガス供給システム240は、例えば二ゾーン(中心‐端)システムなどの複数ゾーン供給システムであってもよく、背面圧力は半径方向に中心から端へ変化させてもよい。
温度制御構成部品214と下方にある合わせ構成部品210との間に追加の断熱を提供するために、断熱構成部品212は断熱ギャップ250をさらに備えてもよい。断熱ギャップ250の熱伝導率を変化させるために、ポンプシステム(図に示していない)または真空ポンプシステム250の一部としての真空ラインを用いて、および/またはガス供給源(図に示していない)に結合して断熱ギャップ250を排気してもよい。ガス供給は、例えば、伝熱ガスを基材135の背面に結合させるために利用される背面ガス供給340であってもよい。
合わせ構成部品210は、プロセス加工システム中の基材ホルダ200および移動平面の上部表面へ、また、この上部表面から、基材135を垂直に並進させるために三つ以上のリフトピン262を昇降させることができるリフトピンアセンブリ260をさらに備えてもよい。
各構成部品210,212および214は、一つの構成部品を別の構成部品に固定し、基材ホルダ200を下部チャンバ部分104に固定するために、固定装置(ボルトおよびねじ穴などの)をさらに備える。さらに、各構成部品210,212および214は、上記で説明したユーティリティのそれぞれの構成部品への通過を容易にし、必要な場合にはエラストマとされたOリングなどの真空シールを利用してプロセス加工システムの真空一体性を維持する。
熱電対(例えばK型熱電対やPtセンサ等)などの温度検出デバイス244を用いて、温度制御された基材ホルダ200の温度を監視してもよい。さらに、コントローラは、基材ホルダ200の温度を制御するために化学処理プロセスへのフィードバックとして温度測定値を利用してもよい。例えば、基材ホルダ200の温度および/または基材135の温度の変化に影響を及ぼすために、流体流量、流体温度、伝熱ガス種類、伝熱ガス圧力、クランプ力、抵抗加熱素子電流または電圧、および熱電素子電流または極性等の少なくとも一つを調節してもよい。
図2Aおよび2Bを再び参照すると、下部チャンバ部分104はガス注入システム120を備える。ガス注入システム120は、一つ以上のガス注入オリフィス、一つ以上のガス注入オリフィスへプロセスガスを供給するための一つ以上のガス貯めおよびガス供給システムを備えてもよい。例えば、ガス注入システム120は、一つ以上のガスを含むプロセスガスを供給するように構成するとよい。例えば、プロセスガスは、NH、HF、H、O、CO、CO、Ar、He等を含むがこれらに限定されないさまざまなガスを含んでもよい。
図2Aおよび2Bを再び参照すると、下部チャンバ部分104は、高温に維持される温度制御された壁を備えてもよい。例えば、壁加熱素子を下部壁温度調節装置190に結合してもよく、壁加熱素子を下部チャンバ部分104に結合するように構成してもよい。加熱素子は、例えば、タングステンフィラメント、ニッケル‐クロム合金フィラメント、アルミニウム‐鉄合金フィラメント、窒化アルミニウムフィラメント等などの抵抗加熱素子を備えてもよい。抵抗加熱素子を製造する市販材料の例は、コネティカット州ベセル(Bethel,CT)のカンソール社(Kanthal Corporation)が製造する金属合金の登録商標名であるカンソール(Kanthal)、ナイクロソール(Nikrothal)およびアクロソール(Akrothal)を含む。カンソールの系統はフェライト合金(FeCrAl)を含み、ナイクロソールの系統はオーステナイト合金(NiCr、NiCrFe)を含む。
電流がフィラメントを通って流れると、電力は熱として消費される。従って、下部壁温度調節装置190は、例えば、制御可能な直流電源を備えるとよい。例えば、壁加熱素子は、ワットロウ(Watlow)(60510 イリノイ州バタビア(Batavia,IL,60510)、キングスランドドライブ(Kingston Dr.)1310)から市販されているファイアロッド(Firerod)カートリッジヒータの少なくとも一つを備えてもよい。下部チャンバ部分の中で、冷却素子を使用してもよい。熱電対(例えばK型熱電対やPtセンサ等)などの温度検出デバイスを用いて下部チャンバ部分104の温度を監視してもよい。さらに、コントローラは、下部チャンバ部分104の温度を制御するために、下部壁温度調節装置190へのフィードバックとして温度測定値を利用してもよい。
さらに、図2Aおよび2Bを参照すると、下部チャンバ部分104のガス注入システム120は、任意の選択温度に維持することができる温度制御ガス分配システムをさらに備えてもよい。例えば、ガス分配加熱素子をガス分配システム温度調節装置192に結合してもよく、ガス分配加熱素子をガス分配システム120に結合するように構成してもよい。加熱素子は、例えば、タングステン、ニッケル‐クロム合金、アルミニウム‐鉄合金、窒化アルミニウム等などの抵抗加熱素子を備えてもよい。抵抗加熱素子を製造する市販材料の例は、コネティカット州ベセルのカンソール社が製造する金属合金の登録商標名であるカンソール、ナイクロソールおよびアクロソールを含む。カンソールの系統はフェライト合金(FeCrAl)を含み、ナイクロソールの系統はオーステナイト合金(NiCr、NiCrFe)を含む。電流がフィラメントを通って流れるとき、電力は熱として消費される。従って、ガス分配システム温度調節装置192は、例えば、制御可能な直流電源装置を備えるとよい。例えば、ガス分配加熱素子は、約1400W(または電力密度約5W/in)の能力があるシリコンゴムヒータ(厚さ約1mm)を備えてもよい。熱電対(例えばK型熱電対やPtセンサ等)などの温度検出デバイスを用いてガス分配システム120の温度を監視してもよい。さらに、コントローラは、ガス分配システム120の温度を制御するために、ガス分配システム温度調節装置192へのフィードバックとして温度測定値を利用してもよい。あるいは、または、さらに、これらの実施態様の任意のものにおいて冷却素子を使用してよい。
さらに、図2Aおよび2Bを参照すると、プロセス加工システム100は加熱アセンブリ160を備える。加熱アセンブリ160は上部チャンバ部分108に結合され、図2Bに示したように、持ち上げられた位置(熱処理位置)にある基材135を加熱するように構成される。既に説明したように、加熱アセンブリ160は放射加熱アセンブリを備えてもよく、より詳しくは、放射ランプのアレイを備えてもよい。例えば、ランプのアレイは、タングステン‐ハロゲンランプのアレイを備えてもよい。放射ランプのアレイは、電源を入れると変化した表面化学種を脱着するのに十分な点(例えば約100から約150℃)まで基材135の温度を上昇させることができる。
さらに、図2Aおよび2Bを再び参照すると、上部チャンバ部分108はガスパージシステム150を備える。ガスパージシステム150は、一つ以上のガス注入オリフィス、一つ以上のガス注入オリフィスへパージガスを供給するための一つ以上のガス注入だめおよびガス供給システムを備えてもよい。例えば、ガスパージシステム150は、一つ以上のガスを含むパージガスを供給するように構成してもよい。パージガスは、例えば、Nまたは希ガス(すなわちHe、Ne、Ar、Kr、Xe、Rn)を含んでもよい。さらに、ガスパージシステムを温度制御してもよい。
さらに、図2Aおよび2Bを再び参照すると、上部チャンバ部分108は高温に維持される温度制御された壁を備えてもよい。例えば、壁加熱素子を上部壁温度調節装置194に結合してもよく、壁加熱素子を上部チャンバ部分108に結合するように構成してもよい。加熱素子は、例えば、タングステン、ニッケル‐クロム合金、アルミニウム‐鉄合金、窒化アルミニウム等などの抵抗加熱素子を備えてもよい。抵抗加熱素子を製造する市販材料の例は、コネティカット州ベセルのカンソール社が製造する金属合金の登録商標名であるカンソール、ナイクロソールおよびアクロソールを含む。カンソールの系統はフェライト合金(FeCrAl)を含み、ナイクロソールの系統はオーステナイト合金(NiCr、NiCrFe)を含む。
電流がフィラメントを通って流れるとき電力は熱として消費される。従って、上部壁温度調節装置194は、例えば、制御可能な直流電源を備えるとよい。例えば、壁加熱素子は、ワットロウ(60510 イリノイ州バタビア、キングスランドドライブ1310)から市販されているファイアロッドカートリッジヒータの少なくとも一つを備えてもよい。下部チャンバ部分の中で、冷却素子を使用してもよい。熱電対(例えばK型熱電対やPtセンサ等)などの温度検出デバイスを用いて上部チャンバ部分108の温度を監視してもよい。さらに、コントローラは、上部チャンバ部分108の温度を制御するために、上部壁温度調節装置194へのフィードバックとして温度測定値を利用してもよい。
さらに図2Aおよび2Bを参照すると、例えば、ポンプシステム125および155は、1秒あたり最大約5000リットル(およびそれ以上)の排気速度の能力があるターボ分子真空ポンプ(TMP)およびチャンバ圧力を絞るためのゲートバルブを備えてもよい。通常の真空プロセス加工デバイスでは、一般に、1秒あたり約1000から約3000リットルのTMPが使用される。TMPは、通常、約50mTorrより低い低圧プロセス加工に有用である。これより高い圧力(すなわち、約100mTorrより高い)プロセス加工の場合、機械式ブースタポンプおよび乾式荒引きポンプを用いるとよい。さらに、チャンバ圧力を監視するためのデバイス(図に示していない)をプロセスチャンバ102に結合してもよい。圧力測定デバイスは、例えば、MKSインスツルメンツ社(マサチューセッツ州アンドーバー(Andover,MA))(MKS Instruments,Inc)から市販されている628B型バラトロン(Baratron)絶対キャパシタンスマノメータであってもよい。
図2Aおよび2Bを再び参照すると、プロセス加工システム100は、マイクロプロセッサ、メモリ、およびディジタルI/Oポートを有するコントローラ180を備える。ディジタルI/Oポートは、プロセス加工システム100への入力を伝達および作動させるとともに、温度および圧力検出デバイスなどのプロセス加工システム100からの出力を監視するのに十分な制御電圧を発生することができる。
さらに、コントローラ180は、基材ホルダ130、並進駆動システム142、ガス注入システム120、ポンプシステム125、オプションの(ゲート)バルブ駆動システム172、下部壁温度制御装置190、ガス分配システム温度調節装置192、上部壁温度制御装置194、ガスパージシステム150、ポンプシステム155および加熱アセンブリ160と結合してもよく、情報を交換してもよい。例えば、プロセスレシピに従って、メモリ中に記憶されたプログラムを利用してプロセス加工システム100の前述の構成部品への入力を作動させてもよい。コントローラ180の一例は、テキサス州オースチン(Austin,Texas)のデル社(Dell Corporation)から市販されているデルプレシジョン(DELL PRECISION)ワークステーション610TMである。
コントローラ180は、プロセス加工システム100の一部としてもよく、あるいは別個のものとしてもよい。例えば、コントローラ190は、直接接続、イントラネットおよびインターネットの少なくとも一つを用いてプロセス加工システム100とデータを交換してもよい。コントローラ180は、例えば、客先サイト(すなわちデバイスメーカー等)でイントラネットに接続してもよく、あるいは、例えば、ベンダーサイト(すなわち装置メーカー)でイントラネットに接続してもよい。さらに、コントローラ180は、例えばインターネットに接続してもよい。さらに、別のコンピュータ(すなわちコントローラ、サーバ等)が、例えば、直接接続、イントラネット、インターネットまたはそれらの組み合わせの少なくとも一つを介してコントローラ180にアクセスしてデータを交換してもよい。
さらに、下部チャンバ部分104および上部チャンバ部分108を備える構成部品の一つ以上の表面を保護障壁で被覆してもよい。保護障壁は、カプトン、テフロン(登録商標)、表面陽極酸化、アルミナ、イットリア等などのセラミックスプレイコーティング、プラズマ電解酸化等の少なくとも一つを含んでもよい。
次に、図4A、4Bおよび4Cを参照すると、別の実施態様によって基材の化学処理および熱処理を実行するためのプロセス加工システム300を示す。プロセス加工システム300は、化学処理空間306中で基材335を化学処理するための下部チャンバ部分304と、熱処理空間310中で基材336を熱処理するための上部チャンバ部分308とを有するプロセスチャンバ302を備える。下部チャンバ部分304を温度制御してもよく、上部チャンバ部分308を温度制御してもよい。断熱アセンブリ312を用いて下部チャンバ部分304と上部チャンバ部分308とを互いに断熱してもよい。断熱アセンブリ312は、並進駆動アセンブリ314を用いて垂直上方向および下方向に並進するように構成される。断熱アセンブリ312は、基材336を支持するための支持要素316をさらに備える。
次に、図4Aを参照すると、下部チャンバ部分304は、基材335を支持するように構成された基材ホルダ330を備える。基材ホルダ330は、基材335の温度を加熱し、冷却し、または制御するように構成してもよい。基材ホルダ330に結合された基材リフトピンアセンブリ340(図4C参照)は、並進駆動システム342を用いて基材335を基材ホルダ330の上部表面から昇降させるように構成される。さらに、下部チャンバ部分304は、基材335を化学的に処理するために下部チャンバ部分304中の化学処理空間306に一つ以上のプロセスガスを導入するためのガス注入システム320と、下部チャンバ部分304を排気するためのポンプシステム325とをさらに備える。
さらに図4Aを参照すると、上部チャンバ部分308は、基材336の温度を上昇させるための加熱アセンブリ360と放射加熱アセンブリなどの熱ウィンドウ362とを備える。さらに、上部チャンバ部分308は、上部チャンバ部分308中の熱処理空間310にパージガスを導入するためのガスパージシステム350と、上部チャンバ部分308を排気するためのポンプシステム355とをさらに備える。
さらに、図4A、4Bおよび4Cに示すように、プロセス加工システム300はコントローラ380をさらに備える。コントローラ380はプロセス加工システムに結合され、プロセス加工システムを制御するように構成される。コントローラは、上記で説明したものと類似であってもよい。
さらに、プロセス加工システム300は移動用開口部390をさらに備える。ゲートバルブアセンブリ392が開いているとき、基材移動アセンブリ396によって移動用開口部390を通して基材を移動させることができる。プロセス加工時に、例えば、プロセス加工システムと移動システムなどの他のシステムとの間の汚染を防ぐために、ゲートバルブアセンブリを用いて移動用開口部390を密閉する。
図4Aおよび4Bに示すように、断熱アセンブリ312は、基材336を移動平面(図4B)で受け取り、基材336を加熱アセンブリ360の近くに配置するために基材336を垂直上方へ移動させ、プロセスチャンバ302の上部部分308を密閉するように構成される。図4Aおよび4Cに示すように、基材リフトピンアセンブリ340は、基材335を移動平面で受け取り、基材335を基材ホルダ330の上に配置するために基材335を垂直下方に移動させるように構成される。
上記で説明したように、例えば、フィルム層の表面化学種を変化させるためのフィルム層の露出された表面の化学処理と、変化した表面化学種を脱着するためのフィルム層の露出された表面の熱処理とを含む二段階プロセスを用いて基材335の上にフィルム層を形成してもよい。図4Cに例を示すように、基材リフトピンアセンブリ340は、基材335を受け取り、基材ホルダ330に(その化学処理位置に)下降させて、基材335を基材ホルダ330の上部表面に結合することができる。この時間の間に、下部チャンバ部分304を上部チャンバ部分308から断熱する。ガス注入システム320を用いて、基材335を化学的に処理するために一つ以上のプロセスガスを導入してもよく、ポンプシステム325を用いて下部チャンバ部分304を排気してもよい。化学処理プロセスが完了したら、基材昇降アセンブリ340を移動平面に上昇させ、上部チャンバ部分308の中の後続のプロセス加工のために基材335を取り出すことができる。図4Bに例を示すように、断熱アセンブリ312が基材336を受け取り、熱処理位置に上昇させてもよい。その場合、基材336を加熱アセンブリ360の近くに移動させ、例えば、ガスパージシステム350およびポンプシステム355によって供給される不活性雰囲気中で熱処理する。
図4Aを再び参照すると、移動チャンバ502と、プロセス加工システム300の下部部分304およびプロセス加工システム300の上部部分308へ、および、この上部部分308から基材335、336を移動させるように構成された基材移動アセンブリ396とを備える移動システム500を示す。さらに、移動システム500は、移動システム500に結合され、第一の基材512を保持するように構成された第一の保持ステーション510と、移動システムに結合され、第二の基材522を保持するように構成された第二の保持ステーション520とを備える。
図5は、下部チャンバ部分104と上部チャンバ部分108とを備えるプロセス加工システム100を動作させる方法を示す。この方法の例を、作業410で開始されるフローチャート400として示す。作業410では、基材移動システムを用いて基材を下部チャンバ部分104へ移動させる。基材ホルダ中に収納されているリフトピンが基材を受け取り、基材を基材ホルダに下降させる。その後、静電クランプシステムなどのクランプシステムを用いて基材を基材ホルダに固定し、伝熱ガスを基材の背面に供給する。さらに、例えば、オプションのゲートバルブを利用して下部チャンバ部分104と上部チャンバ部分108との間に真空断熱を提供するとよい。
作業420では、基材の化学処理のための一つ以上の化学処理パラメータを設定する。例えば、一つ以上の化学処理パラメータとは、化学処理プロセス加工圧力、化学処理壁面温度、化学処理基材ホルダ温度、化学処理基材温度、化学処理ガス分配システム温度および化学処理ガス流量の少なくとも一つを含む。
例えば、以下のプロセスの一つ以上を実行するとよい。1)下部壁温度制御装置と第一の温度検出デバイスとに結合されたコントローラを利用して、化学処理チャンバのための化学処理チャンバ温度を設定し、2)ガス注入システム温度制御装置と第二の温度検出デバイスとに結合されたコントローラを利用して、化学処理チャンバのための化学処理ガス分配システム温度を設定し、3)少なくとも一つの温度制御素子と第三の温度検出デバイスとに結合されたコントローラを利用して、化学処理基材ホルダ温度を設定し、4)温度制御素子、背面ガス供給システムおよびクランプシステムの少なくとも一つと、基材ホルダ中の第四の温度検出デバイスと結合されたコントローラを利用して、化学処理基材温度を設定し、5)真空ポンプシステム、ガス分配システムおよび圧力検出デバイスの少なくとも一つに結合されたコントローラを利用して、化学処理チャンバ内のプロセス加工圧力を設定し、および/または、6)ガス分配システム中の一つ以上のマスフローコントローラに結合されたコントローラによって、一つ以上のプロセスガスの質量流量を設定する。
作業430では、作業420で設定した条件で基材を第一の時間の間化学処理する。第一の時間は、例えば約10秒から約480秒の範囲にあるとよい。
作業440では、基材昇降アセンブリによって基材を下部チャンバ部分104から上部チャンバ部分108へ移動させる。例えば、基材昇降アセンブリは、図2Aおよび2B、あるいは図4A、4Bおよび4Cに示したようであるとよく、基材リフトピンアセンブリ、基材移動アセンブリおよび断熱アセンブリの組み合わせによる動作を含む。
作業450では、基材の熱処理のための熱プロセス加工パラメータを設定する。例えば、一つ以上の熱プロセス加工パラメータは、熱処理壁体温度、熱処理上部アセンブリ温度、熱処理基材温度、熱処理基材ホルダ温度、熱処理基材温度および熱処理プロセス加工圧力の少なくとも一つを含む。
例えば、以下のプロセスの一つ以上を実行するとよい。1)熱処理チャンバ中の熱壁体温度制御装置および第一の温度検出デバイスに結合されたコントローラを利用して熱処理壁体温度を設定し、2)上部アセンブリ中の上部アセンブリ温度制御装置および第二の温度検出デバイスに結合されたコントローラを利用して熱処理上部アセンブリ温度を設定し、3)加熱された基材ホルダ中の基材ホルダ温度制御装置および第三の温度検出デバイスに結合されたコントローラを利用して熱処理基材ホルダ温度を設定し、4)加熱された基材ホルダ中の基材ホルダ温度制御装置および第四の温度検出デバイスに結合され、基材に結合されたコントローラを利用して熱処理基材温度を設定し、および/または、5)真空ポンプシステム、ガス分配システムおよび圧力検出デバイスに結合されたコントローラを利用して熱処理チャンバ内のプロセス加工圧力を設定する。
作業460では、450で設定した条件下で第二の時間の間基材を熱処理する。第二の時間は、例えば約10秒から約480秒の範囲にあるとよい。
一例では、プロセス加工システム100は、図2Aおよび2B、または図4Aおよび4Bに示したように酸化物ハードマスクを形成するための化学的酸化物除去システムであってもよい。プロセス加工システム100、300は、基材の上の酸化物表面層などの露出された表面層を化学処理し、それによって、露出された表面の上のプロセス化学物質の吸着が表面層の化学的変化に影響を及ぼすための下部チャンバ部分104、304を備える。さらに、プロセス加工システム100、300は、基材を熱処理し、それによって、基材の上の化学変化した露出された表面層を脱着させる(または蒸発させる)ために基材温度を高くするための上部チャンバ部分108、308を備える。
下部チャンバ部分104、304中の化学処理空間106、306(図2Aまたは4A参照)を排気し、HFおよびNHを含むプロセスガスを導入する。あるいは、プロセスガスはキャリアガスをさらに含んでもよい。キャリアガスは、例えば、アルゴン、キセノン、ヘリウム等などの不活性ガスを含んでもよい。プロセス加工圧力は、約1mTorrから約1000mTorrの範囲にあるとよく、例えば、通常、約2mTorrから約25mTorrの範囲にあるとよい。プロセスガス流量は、各化学種について約1sccmから約2000sccmの範囲にあるとよく、例えば、通常、約10sccmから約100sccmの範囲にあるとよい。
さらに、下部チャンバ部分104、304は約10℃から約200℃の範囲の温度に加熱してもよく、例えば、温度は通常約35℃から約55℃であるとよい。さらに、ガス注入システムは約10℃から約200℃の範囲の温度に加熱するとよく、例えば、温度は通常約40℃から約60℃であるとよい。基材は、約10℃から約50℃の範囲の温度に保持するとよく、例えば、基材温度は通常約25℃から約30℃にするとよい。
上部チャンバ部分108、308の中の熱処理空間110、310(図2Bまたは4B参照)を排気し、Nを含むパージガスを導入する。プロセス加工圧力は、約1mTorrから約1000mTorrの範囲にあるとよく、例えば、通常約2mTorrから約25mTorrの範囲にあるとよい。パージガス流量は、各化学種について約1sccmから約2000sccmの範囲にあるとよく、例えば、通常約10sccmから約100sccmの範囲にあるとよい。
上部チャンバ部分108、308の中の壁は、約20℃から約200℃の範囲の温度に加熱するとよく、例えば、温度は通常約75℃から約100℃にするとよい。さらに、ガスパージシステムは、約20℃から約200℃の範囲の温度に加熱するとよく、例えば、温度は通常約75℃から約100℃にするとよい。基材は、約100℃高い約100℃から約200℃の範囲の温度に加熱するとよく、例えば、温度は通常約100℃から約150℃にするとよい。
本発明の特定の実施態様だけを上記で詳しく説明してきたが、本発明の新規な開示および長所から実質的に逸脱することなく実施態様に多くの変更を施すことができることは当業者には自明である。従って、そのような変更形はすべて本発明の範囲内に含まれるものとする。
従って、本説明は本発明を限定するものではなく、本発明の構成、操作および挙動は、本明細書中に示した詳細レベルで実施態様の変更および変化が可能との理解のもとに説明したものである。従って、上記の詳細な説明はあらゆる意味で本発明を限定しないことを意味するか、あるいは意図せず、本発明の範囲は添付の請求項によって定められる。
本発明の実施態様によるプロセス加工システムのための移動システムの平面概略図である。 本発明の実施態様によるプロセス加工システムのための移動システムの側面概略図である。 本発明の別の実施態様によるプロセス加工システムのための移動システムの平面概略図である。 本発明の実施態様によるプロセス加工システムの概略断面図である。 本発明の実施態様によるプロセス加工システムの概略断面図である。 本発明の実施態様による基材ホルダの概略断面図である。 本発明の別の実施態様によるプロセス加工システムの概略断面図である。 本発明の別の実施態様によるプロセス加工システムの概略断面図である。 本発明の別の実施態様によるプロセス加工システムの概略断面図である。 基材をプロセス加工するためのフローチャートである。

Claims (30)

  1. 基材の上に構成要素を形成するためのプロセス加工システムであって、
    前記基材の上の露出された表面層を化学変化させる下部チャンバ部分と、前記基材の上の前記化学変化した表面層を熱処理する上部チャンバ部分とを有するプロセスチャンバ、
    前記下部チャンバ部分と結合して、前記下部チャンバ部分の温度を制御するように構成された下部壁温度調節装置、
    前記上部チャンバ部分と結合して、前記上部チャンバ部分の温度を制御するように構成された上部壁温度調節装置、
    前記下部チャンバ部分と結合して、1種類以上のプロセスガスを前記下部チャンバ部分へ導入するように構成されたガス注入システム、
    前記ガス注入システムと結合して、前記ガス注入システム温度を制御するように構成されたガス分配システム温度調節装置、
    前記下部チャンバ部分内部で固定された状態で載置され、前記下部チャンバ部分内において、前記基材を該基材上面で支持し、かつ前記基材が接するときに前記基材の温度を制御するように構成された温度制御された基材ホルダ、
    前記温度制御された基材ホルダと結合して、前記温度制御された基材ホルダの上面と前記下部チャンバ部分の移動面との間で、前記基材を垂直方向に並進させるように構成された基材昇降ピンアセンブリ、
    前記温度制御された基材ホルダから分離し、前記プロセスチャンバに移動可能な状態で結合され、かつ、前記温度制御された基材ホルダの上面の下方に退き、チャンバ縁部によって前記上部チャンバ部分から前記下部チャンバ部分を隔離し、基材縁部上の前記基材周辺端部で前記基板を支持し、かつ前記下部チャンバ部分と前記上部チャンバ部分との間で前記基材を輸送し、前記移動面に対して前記基材を搬入出し、および、前記温度制御された基材ホルダに対して前記基材を搬入出する、ように構成された基材昇降アセンブリ、ならびに、
    前記下部チャンバ部分と結合するポンプシステム、
    を備えるプロセス加工システム。
  2. 前記下部チャンバ部分は、前記上部チャンバ部分から断熱される、請求項1に記載のプロセス加工システム。
  3. 前記断熱は、断熱プレートを含む、請求項2に記載のプロセス加工システム。
  4. 前記断熱プレートは、前記下部チャンバと前記上部チャンバとの間に熱障壁を提供する、請求項3に記載のプロセス加工システム。
  5. 前記断熱プレートは、テフロン(登録商標)、アルミナ、サファイヤおよび石英の少なくとも一つを含む、請求項4に記載のプロセス加工システム。
  6. 前記下部チャンバ部分は、前記上部チャンバ部分から真空断熱される、請求項1に記載のプロセス加工システム。
  7. 前記真空断熱は、前記下部チャンバ部分と前記上部チャンバ部分との間の開口部を開閉するためのゲートバルブを含む、請求項6に記載のプロセス加工システム。
  8. 前記温度制御された基材ホルダは、静電クランプシステム、背面ガス供給システムおよび一つ以上の温度制御素子の少なくとも一つを備える、請求項1に記載のプロセス加工システム。
  9. 前記下部チャンバ部分の中の前記温度制御された基材ホルダは一つ以上の温度制御素子を備え、前記一つ以上の温度制御素子は冷却チャネル、加熱チャネル、抵抗加熱素子、放射ランプおよび熱電気デバイスの少なくとも一つを備える、請求項1に記載のプロセス加工システム。
  10. 前記ガス注入システムは、少なくとも一つのガス分配貯めを備える、請求項1に記載のプロセス加工システム。
  11. 前記ガス注入システムは、一つ以上のガス注入オリフィスを備える、請求項1に記載のプロセス加工システム。
  12. 前記1種類以上のプロセスガスは、第一のガスと、前記第一のガスとは異なる第二のガスとを含む、請求項1に記載のプロセス加工システム。
  13. 前記1種類以上のプロセスガスは、HFおよびNHの少なくとも一つを含む、請求項1に記載のプロセス加工システム。
  14. 前記1種類以上のプロセスガスは不活性ガスをさらに含む、請求項13に記載のプロセス加工システム。
  15. 前記不活性ガスは希ガスを含む、請求項14に記載のプロセス加工システム。
  16. 前記上部チャンバ部分は、
    前記基材の前記温度を上昇させるように構成された加熱アセンブリ、
    前記上部チャンバ部分に結合されたポンプシステム、および
    前記上部チャンバ部分にパージガスを導入するように構成された窒素パージシステム
    を備える、請求項1に記載のプロセス加工システム。
  17. 前記加熱アセンブリは放射加熱アセンブリを備える、請求項16に記載のプロセス加工システム。
  18. 前記放射加熱アセンブリは放射ランプのアレイを備える、請求項17に記載のプロセス加工システム。
  19. 前記パージガスはNを含む、請求項16に記載のプロセス加工システム。
  20. 前記プロセスチャンバは、製造システムに結合される、請求項1に記載のプロセス加工システム。
  21. プロセス加工システムを動作させて基材の上に構成要素を形成する方法であって、
    プロセスチャンバの下部チャンバ部分の中に前記基材を移動させる工程、
    前記下部チャンバ部分と結合した下部壁温度調節装置によって前記下部チャンバ部分の温度を制御する工程、
    前記下部チャンバ部分内部で固定された状態で載置され、前記下部チャンバ部分内において、前記基材を支持するように構成された温度制御された基材ホルダの上面に前記基材を設ける工程であって、
    前記温度制御された基材ホルダの上面と前記下部チャンバ部分の移動面との間で、前記基材を垂直方向に並進させるように構成された前記温度制御された基材ホルダと結合する基材昇降ピンアセンブリが用いられる工程、
    前記基材が接するときに前記基材の温度を制御する工程、
    前記下部チャンバ部分と結合したガス注入システムを用いて1種類以上のプロセスガスを前記下部チャンバ部分へ導入することによって、前記基材の上の露出された表面層を化学変化させるために、前記下部チャンバ部分の中の前記基材を化学処理する工程であって、前記ガス注入システムは、前記ガス注入システムの温度を制御するように構成されたガス分配システム温度調節装置と結合する、工程、
    前記下部チャンバ部分と結合したポンプシステムを用いて前記下部チャンバ部分を排気する工程、
    前記温度制御された基材ホルダから分離し、前記プロセスチャンバに移動可能な状態で結合され、かつ、前記温度制御された基材ホルダの上面の下方に退き、チャンバ縁部によって上部チャンバ部分から前記下部チャンバ部分を隔離し、基材縁部上の基材周辺端部で前記基板を支持し、かつ前記下部チャンバ部分と前記上部チャンバ部分との間で前記基材を輸送し、移動面に対して前記基材を搬入出し、および、前記温度制御された基材ホルダに対して前記基材を搬入出する、ように構成された基材昇降アセンブリを用いることによって、前記プロセスチャンバの前記下部チャンバ部分から前記上部チャンバ部分へ前記基材を移動させる工程、および
    前記化学変化した表面層を脱着させるために、前記上部チャンバ部分の中の前記基材を熱処理する工程
    を含む方法。
  22. 前記化学処理の前に、一つ以上の化学プロセス加工パラメータを設定する工程をさらに含む、請求項21に記載の方法。
  23. 前記一つ以上の化学プロセス加工パラメータを設定する工程は、1種類以上のプロセスガスの量の少なくとも一つを設定する工程、不活性ガスの量を設定する工程、前記下部チャンバ部分の中の圧力、前記下部チャンバ部分の温度、前記基材の温度および前記基材を化学処理するための時間を設定する工程を含む、請求項22に記載の方法。
  24. 前記1種類以上のプロセスガスの前記量を設定する工程は、HFの量およびNHの量を設定する工程を含む、請求項23に記載の方法。
  25. 前記不活性ガスのを設定する工程は、アルゴンの量を設定する工程を含む、請求項23に記載の方法。
  26. 前記基材の温度を設定する工程は、前記化学処理時に前記基材が静止する、前記下部チャンバ部分に結合された基材ホルダの温度を設定する工程を含む、請求項23に記載の方法。
  27. 前記熱処理の前に、一つ以上の熱プロセス加工パラメータを設定する工程をさらに含む、請求項21に記載の方法。
  28. 前記一つ以上の熱プロセス加工パラメータを設定する工程は、パージガスの量を設定する工程、前記上部チャンバ部分の中の圧力を設定する工程、前記上部チャンバ部分の温度、前記基材の温度を設定する工程、および前記基材を熱処理するための時間を設定する工程を含む、請求項27に記載の方法。
  29. 前記パージガスのを設定する工程は、Nの量を設定する工程を含む、請求項28に記載の方法。
  30. 前記基材の温度を設定する工程は、前記上部チャンバ部分に結合され、前記基材を加熱するように構成された加熱アセンブリに供給される電力を設定する工程を含む、請求項28に記載の方法。
JP2007515123A 2004-06-04 2005-05-06 基材を処理するためのプロセス加工システムおよび方法 Expired - Fee Related JP5014985B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/860,149 2004-06-04
US10/860,149 US7651583B2 (en) 2004-06-04 2004-06-04 Processing system and method for treating a substrate
PCT/US2005/015929 WO2005122216A1 (en) 2004-06-04 2005-05-06 Processing system and method for treating a substrate

Publications (2)

Publication Number Publication Date
JP2008502135A JP2008502135A (ja) 2008-01-24
JP5014985B2 true JP5014985B2 (ja) 2012-08-29

Family

ID=34969073

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007515123A Expired - Fee Related JP5014985B2 (ja) 2004-06-04 2005-05-06 基材を処理するためのプロセス加工システムおよび方法

Country Status (3)

Country Link
US (1) US7651583B2 (ja)
JP (1) JP5014985B2 (ja)
WO (1) WO2005122216A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150089999A (ko) * 2015-07-18 2015-08-05 안범주 반도체 프로세싱을 위한 수직 적층형 다중 챔버

Families Citing this family (515)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US8540843B2 (en) 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
US20060000551A1 (en) * 2004-06-30 2006-01-05 Saldana Miguel A Methods and apparatus for optimal temperature control in a plasma processing system
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US20070238301A1 (en) * 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7418982B2 (en) * 2006-05-17 2008-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier and facility interface and apparatus including same
US7718032B2 (en) 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US20080078743A1 (en) * 2006-09-28 2008-04-03 Munoz Andres F Elevated temperature chemical oxide removal module and process
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US20080217293A1 (en) * 2007-03-06 2008-09-11 Tokyo Electron Limited Processing system and method for performing high throughput non-plasma processing
JP2008235309A (ja) * 2007-03-16 2008-10-02 Tokyo Electron Ltd 基板処理装置、基板処理方法および記録媒体
JP4949091B2 (ja) * 2007-03-16 2012-06-06 東京エレクトロン株式会社 基板処理装置、基板処理方法および記録媒体
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20100075488A1 (en) * 2008-09-19 2010-03-25 Applied Materials, Inc. Cvd reactor with multiple processing levels and dual-axis motorized lift mechanism
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8204859B2 (en) * 2008-12-10 2012-06-19 Commvault Systems, Inc. Systems and methods for managing replicated database data
US8216376B1 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Method and apparatus for variable conductance
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TW201135845A (en) * 2009-10-09 2011-10-16 Canon Anelva Corp Acuum heating and cooling apparatus
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120171002A1 (en) * 2011-01-05 2012-07-05 Electro Scientific Industries, Inc Apparatus and method for transferring a substrate
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP2012174819A (ja) * 2011-02-21 2012-09-10 Sokudo Co Ltd 熱処理装置および熱処理方法
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6024372B2 (ja) * 2012-10-12 2016-11-16 Tdk株式会社 基板処理装置および基板処理チャンバモジュール
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10196296B2 (en) 2015-01-17 2019-02-05 Hamid Hojaji Fluid permeable and vacuumed insulating microspheres and methods of producing the same
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6368732B2 (ja) * 2016-03-29 2018-08-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US20180142355A1 (en) * 2016-11-18 2018-05-24 Adnanotek Corp. System integrating atomic layer deposition and reactive ion etching
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10704147B2 (en) * 2016-12-03 2020-07-07 Applied Materials, Inc. Process kit design for in-chamber heater and wafer rotating mechanism
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6813367B2 (ja) * 2017-01-13 2021-01-13 株式会社ディスコ フレームユニット搬送システム
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11328929B2 (en) * 2018-05-01 2022-05-10 Applied Materials, Inc. Methods, apparatuses and systems for substrate processing for lowering contact resistance
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110961039B (zh) * 2018-09-28 2022-07-01 铠柏科技有限公司 激光加热腔体系统
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11437261B2 (en) 2018-12-11 2022-09-06 Applied Materials, Inc. Cryogenic electrostatic chuck
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11764041B2 (en) 2019-06-14 2023-09-19 Applied Materials, Inc. Adjustable thermal break in a substrate support
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11373893B2 (en) 2019-09-16 2022-06-28 Applied Materials, Inc. Cryogenic electrostatic chuck
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11646183B2 (en) 2020-03-20 2023-05-09 Applied Materials, Inc. Substrate support assembly with arc resistant coolant conduit
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11087989B1 (en) 2020-06-18 2021-08-10 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11664247B2 (en) * 2020-10-16 2023-05-30 Applied Materials, Inc. Dynamic interface for providing a symmetric radio frequency return path
US11887884B2 (en) * 2020-10-16 2024-01-30 Applied Materials, Inc. Pre-loaded bowl mechanism for providing a symmetric radio frequency return path
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11545347B2 (en) 2020-11-05 2023-01-03 Applied Materials, Inc. Internally divisible process chamber using a shutter disk assembly
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023188121A1 (ja) * 2022-03-30 2023-10-05 ヤマハロボティクスホールディングス株式会社 ウェーハ洗浄装置及びボンディングシステム

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
JP2773893B2 (ja) * 1989-03-22 1998-07-09 三菱電機株式会社 混合物薄膜形成装置
WO1997020340A1 (fr) * 1995-11-28 1997-06-05 Tokyo Electron Limited Procede et dispositif pour traiter un semi-conducteur au moyen d'un gaz de traitement, pendant que le substrat est chauffe
US5879128A (en) * 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
JPH1050716A (ja) * 1996-07-30 1998-02-20 Dainippon Screen Mfg Co Ltd 基板の枚葉式熱処理装置
US6276072B1 (en) * 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
JP2000077506A (ja) * 1998-08-28 2000-03-14 Fuji Electric Co Ltd スパッタリング装置
US6409837B1 (en) * 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
KR100787848B1 (ko) * 1999-11-15 2007-12-27 램 리써치 코포레이션 플라즈마 처리장치용 온도 제어시스템
US6302966B1 (en) * 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
US6352623B1 (en) * 1999-12-17 2002-03-05 Nutool, Inc. Vertically configured chamber used for multiple processes
US7097760B1 (en) * 2002-05-07 2006-08-29 Uop Llc Hydrocarbon process for the production of ultra low sulfur diesel
US7214274B2 (en) * 2003-03-17 2007-05-08 Tokyo Electron Limited Method and apparatus for thermally insulating adjacent temperature controlled processing chambers
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150089999A (ko) * 2015-07-18 2015-08-05 안범주 반도체 프로세싱을 위한 수직 적층형 다중 챔버
KR102401606B1 (ko) * 2015-07-18 2022-05-23 안범주 반도체 프로세싱을 위한 수직 적층형 다중 챔버

Also Published As

Publication number Publication date
JP2008502135A (ja) 2008-01-24
US7651583B2 (en) 2010-01-26
WO2005122216A1 (en) 2005-12-22
US20050269030A1 (en) 2005-12-08

Similar Documents

Publication Publication Date Title
JP5014985B2 (ja) 基材を処理するためのプロセス加工システムおよび方法
EP1604388B1 (en) Processing system and method for chemically treating a substrate
EP1604387B1 (en) Processing system and method for treating a substrate
EP1604389B1 (en) Processing system and method for thermally treating a substrate
JP2008502134A (ja) 基材を処理するためのプロセス加工システムを動作させる方法
EP1730770B1 (en) Method for treating a substrate
US20050218114A1 (en) Method and system for performing a chemical oxide removal process
EP1730768A2 (en) Method and system for adjusting a chemical oxide removal process using partial pressure
US20080217293A1 (en) Processing system and method for performing high throughput non-plasma processing

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080403

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111108

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120106

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120131

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120308

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120403

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120507

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120529

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120606

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150615

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees