CN116941014A - 使用一个或多个无定形碳硬模层来处理基板的方法、系统和装置 - Google Patents

使用一个或多个无定形碳硬模层来处理基板的方法、系统和装置 Download PDF

Info

Publication number
CN116941014A
CN116941014A CN202280019632.5A CN202280019632A CN116941014A CN 116941014 A CN116941014 A CN 116941014A CN 202280019632 A CN202280019632 A CN 202280019632A CN 116941014 A CN116941014 A CN 116941014A
Authority
CN
China
Prior art keywords
substrate
rapid thermal
chamber
amorphous carbon
thermal annealing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280019632.5A
Other languages
English (en)
Inventor
K·尼塔拉
S·M·博贝克
K·D·李
R·林杜尔派布恩
K·基欧希斯
K·嘉纳基拉曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116941014A publication Critical patent/CN116941014A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

各方面总体上涉及用于使用一个或多个无定形碳硬模层处理基板的方法、系统和装置。在一方面中,改变膜应力同时促进增强的蚀刻选择性。在一种实施方式中,一种处理基板的方法包括将一个或多个无定形碳硬模层沉积到基板上,以及在沉积一个或多个无定形碳硬模层之后在基板上进行快速热退火操作。快速热退火操作持续达60秒或更短的退火时间。快速热退火操作包括将基板加热到在600摄氏度至1,000摄氏度的范围内的退火温度。所述方法包括在进行快速热退火操作之后蚀刻基板。

Description

使用一个或多个无定形碳硬模层来处理基板的方法、系统和 装置
背景
领域
各方面总体上涉及用于使用一个或多个无定形碳硬模层处理基板的方法、系统和装置。在一方面中,改变膜应力同时促进增强的蚀刻选择性。
背景技术
基板的硬模层可能具有高压缩应力,这可能不适合于操作。例如,高压缩应力可能导致分层并可能妨碍器件性能。减少压缩应力的努力可能涉及更长的操作时间、更大的资源支出、降低的产量和硬模层的损失。降低压缩应力的努力可能另外涉及蚀刻选择性的损失。
因此,需要改进的方法、系统和装置,以促进增强的蚀刻选择性和改变膜应力以促进减少操作时间、减少资源消耗、增加产量和减少硬模层的损失。
发明内容
各方面总体上涉及用于使用一个或多个无定形碳硬模层处理基板的方法、系统和装置。在一方面中,改变膜应力同时促进增强的蚀刻选择性。
在一种实施方式中,一种处理基板的方法包括将一个或多个无定形碳硬模层沉积到基板上,以及在沉积一个或多个无定形碳硬模层之后在基板上进行快速热退火操作。快速热退火操作持续达60秒或更短的退火时间。快速热退火操作包括将基板加热到在600摄氏度至1,000摄氏度的范围内的退火温度。所述方法包括在进行快速热退火操作之后蚀刻基板。
在一种实施方式中,一种用于处理基板的非暂时性计算机可读介质包括指令,所述指令在被执行时导致进行多个操作。多个操作包括将一个或多个无定形碳硬模层沉积到基板上,以及在沉积一个或多个无定形碳硬模层之后在基板上进行快速热退火操作。快速热退火操作持续达60秒或更短的退火时间。快速热退火操作包括将基板加热到在600摄氏度至1,000摄氏度的范围内的退火温度。多个操作包括在进行快速热退火操作之后蚀刻基板。
在一种实施方式中,一种用于处理基板的系统包括沉积、退火腔室、耦合到沉积腔室和退火腔室的传送腔室、以及低温蚀刻腔室。所述系统包括控制器,所述控制器包括指令,所述指令在被执行时使沉积腔室将一个或多个无定形碳硬模层沉积到基板上。指令在执行时使退火腔室在将一个或多个无定形碳硬模层沉积到基板上之后对基板进行快速热退火操作。快速热退火操作持续达60秒或更短的退火时间。快速热退火操作包括将基板加热到在600摄氏度至1,000摄氏度的范围内的退火温度。指令在执行时使低温蚀刻腔室在进行快速热退火操作之后蚀刻基板。
附图说明
为了能够详细理解本公开的上述特征的方式,可以通过参考实施例来获得上文简要概括的本公开的更具体的描述,所述实施例中的一些实施例在附图中示出。然而,要注意,附图仅示出示例性实施例,因此不应被认为是对范围的限制,因为本公开可以承认其他同样有效的实施例。
图1是根据一种实施方式的用于处理基板的系统的示意性局部视图。
图2是根据一种实施方式的基板处理腔室的示意性截面视图。
图3是根据一种实施方式的退火腔室的局部示意性截面视图。
图4是根据一种实施方式的蚀刻腔室的截面局部示意视图。
图5是根据一种实施方式的处理基板的方法的示意视图。
为了便于理解,在可能的情况下,使用相同的附图标记来表示附图共有的相同元件。预期一个实施例的元件和特征可以有益地结合到其他实施例中而无需进一步叙述。
具体实施方式
各方面总体上涉及用于使用一个或多个无定形碳硬模层处理基板的方法、系统和装置。在一方面中,改变膜应力同时促进增强的蚀刻选择性。
图1是根据一种实施方式的用于处理基板的系统100的示意性局部视图。系统100包括具有多个处理腔室101、102的集群工具103。虽然图示了处理腔室101、102,但是可以设想系统100可以包括多于或少于五个设置在传送腔室112周围并耦合到传送腔室112的处理腔室。集群工具103可以通信地、电气地、机械地或以其他方式耦合到一个或多个额外的集群工具和/或一个或多个其他系统,在图1中共同示出为第二集群工具122。基板是使用传送系统在集群工具103和第二集群工具122之间传送的并且可以暴露于环境条件。在可以与其他实施例结合的一个实施例中,将基板从集群工具103的工厂接口114传送到第二集群工具122或从第二集群工具122传送到集群工具103的工厂接口114中。从工厂接口114,可以将基板传送到传送腔室112。传送腔室112的传送容积118可以是环境受控的环境,诸如可以设置和维持和/或调节温度和/或压力的环境。在可以与其他实施例结合的一个实施例中,传送腔室112保持在真空压力下。中央传送机器人116被配置为在处理腔室101、102之间传送基板。预期系统100可包括多个处理腔室101、102的重复腔室。
集群工具103包括沉积腔室101和耦合到传送腔室112的退火腔室102。本公开设想其他处理腔室(诸如被配置为对基板进行清洁操作的清洁腔室)可以设置在传送腔室112周围并耦合到传送腔室112。第二集群工具122包括平版印刷腔室123和蚀刻腔室124。沉积腔室101被配置为将一个或多个无定形碳硬模层沉积到基板上。退火腔室102被配置为在基板上进行快速热退火操作。蚀刻腔室124被配置为在基板上进行蚀刻操作,诸如低温蚀刻操作。平版印刷腔室123被配置为在基板上进行图案化操作,诸如光刻图案化操作。沉积腔室101、退火腔室102和传送腔室112被安装到集群工具103的框架104。
控制器120耦合到系统100的集群工具103和第二集群工具122以控制沉积腔室101、退火腔室102、平版印刷腔室123和蚀刻腔室124的操作。控制器120包括中央处理单元(CPU)131、包含指令的存储器132和用于CPU131的支持电路133。控制器120直接地或通过耦合到集群工具103和第二集群工具122的其他计算机和/或控制器(未示出)来控制系统100。控制器120是在工业环境中用于控制各种腔室和装置的任何形式的通用计算机处理器,以及其上或其中的子处理器。
存储器132或非暂时性计算机可读介质是诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘、闪存驱动器或任何其他形式的本地或远程的数字存储。支持电路133耦接到CPU 131,以用于支持CPU 131(处理器)。支持电路133包括高速缓存、电源、时钟电路、输入/输出电路系统和子系统等。基板处理参数和操作作为软件例程存储在存储器132中,所述软件例程被执行或调用以将控制器120变成专用控制器以控制系统100的操作。控制器120被配置为执行本文所述的任何方法。存储在存储器132上的指令在被执行时会导致方法500的操作501-508中的一个或多个被执行。
系统100包括一个或多个计量传感器,所述一个或多个计量传感器监测系统100的一个或多个方面的条件和/或特性,诸如传送腔室112的传送容积118、沉积腔室101的处理容积、退火腔室102的处理容积、平版印刷腔室123的处理容积和/或蚀刻腔室124的处理容积。系统100包括具有一个或多个传感器191a-191d(示出了四个)的一个或多个模块190(示出了一个)。具有传感器191a-191d的模块190设置在传送腔室112的传送容积118中。本公开设想具有一个或多个传感器的一个或多个模块可以设置在沉积腔室101、退火腔室102、平版印刷腔室123和/或蚀刻腔室124中的一者或多者中。
具有传感器191a-191d的模块190可附接到腔室101、102、112、123和124中的一个或多个,诸如附接到相应腔室101、102、112、123或124的内表面。传感器191a-191d中的至少一个被配置为,诸如在中央传送机器人116传送基板时,检测、监测和/或测量传送容积118中的污染物及其浓度。在一个示例中,一个或多个传感器191a-191d包括一个或多个原位计量传感器。
传感器191a-191d中的至少一个被配置为,诸如当基板正由中央传送机器人116传送时或基板正在处理腔室101、102、123、124中被处理时,检测、监控和/或测量在系统100中处理的基板的条件和/或特性。在一个示例中,一个或多个传感器191a-191d包括一个或多个晶片上光谱传感器,和/或一个或多个污染物传感器。在一个示例中,一个或多个传感器191a-191d包括一个或多个氧传感器、一个或多个水蒸气传感器、一个或多个X射线荧光光谱(XRF)传感器和/或一个或多个X射线光电子光谱(XPS)传感器。在可以与其他实施例组合的一个实施例中,传感器191a-191d被配置为测量:基板的一个或多个无定形碳硬模层的一个或多个厚度(诸如在快速热退火操作之前和/或之后)、基板的弯曲和/或基板的一个或多个无定形碳硬模层的膜应力。
由控制器120执行的多个指令包括指示一个或多个传感器191a-191d检测、监测和/或测量污染物、条件和/或特性的指令。控制器120的存储器132中的指令可以包括一种或多种机器学习/人工智能算法,这些算法可以附加于本文描述的操作而被执行。作为示例,由控制器120执行的机器学习/人工智能算法可以基于由一个或多个传感器191a-191d进行的一个或多个传感器测量来优化和改变操作参数。操作参数可以包括例如退火时间、退火温度、退火压力、退火气体组成物、退火流率、沉积温度、沉积压力、第一流率、第二流率、总速率、反应性前驱物气体组成物、惰性气体组成物、蚀刻温度、硬模厚度、膜应力、基板弯曲、氢含量、蚀刻选择性、杨氏模量和/或膜密度——以下分别描述上述各项中的每一者。
一种或多种机器学习/人工智能算法可以考虑基板的弯曲、在快速热退火操作之后测量的膜厚度和/或在快速热退火操作之后测量的膜应力以优化诸如退火温度之类的操作参数。在可以与其他实施例结合的一个实施例中,一种或多种机器学习/人工智能算法可以在快速热退火操作之后测量膜厚度(诸如硬模厚度)和膜应力以确定沉积操作和/或快速热退火操作是否要再重复一次或多次。在可以与其他实施例组合的一个实施例中,一种或多种机器学习/人工智能算法可以确定一个或多个无定形碳硬模层实现中性膜应力所需的优化退火时间,所述中性膜应力诸如拉伸膜应力或100MPa或更小的膜应力。在可以与其他示例结合的一个示例中,测量传入基板的弯曲并且一种或多种机器学习/人工智能算法确定经优化的退火时间。在可以与其他实施例结合的一个实施例中,由控制器120执行的一种或多种机器学习/人工智能算法确定经优化的退火时间、经优化的退火温度、经优化的退火压力、经优化的退火气体组成物、经优化的退火流率,和/或用于快速热退火操作的多次重复迭代。
图2是根据一种实施方式的基板处理腔室200的示意性截面视图。基板处理腔室200可以是例如化学气相沉积(CVD)腔室或等离子体增强CVD(PECVD)腔室。本公开设想可以使用其他腔室,诸如原子层沉积(ALD)腔室或物理气相沉积(PVD)腔室。基板处理腔室200是可用作图1所示系统100的沉积腔室101的沉积腔室。
基板处理腔室200具有腔室主体202和设置在腔室主体202上的腔室盖204。腔室主体202在其中包括内部容积206。本公开设想腔室主体202可以由单个主体或两个或更多个主体制成。腔室主体202包括基底和一个或多个侧壁。基板处理腔室200包括气体分配组件216,气体分配组件216耦合到腔室盖204或设置在腔室盖204中,以通过喷头201将一种或多种处理气体209的流输送到处理区域210中。一种或多种处理气体可以包括氩气和/或C3H6中的一者或多者,以及其他气体。在一个示例中,一种或多种处理气体包括一种或多种反应性前驱物气体和一种或多种惰性气体(以生成等离子体)。喷头201包括背板226和面板230。气体分配组件216包括气体歧管218,气体歧管218耦接到形成在腔室盖204中的气体入口通道220。气体歧管218接收来自一个或多个气体源222(示出了两个)的一种或多种处理气体的流。从一个或多个气体源222接收的处理气体的流分布在整个气箱224上,流过背板226的多个开口291,并且进一步分布在由背板226和面板230限定的整个气室228上。处理气体209的流随后通过形成在喷头201的面板230的下表面219中的一个或多个气体开口232流入内部容积206的处理区域210。
内部容积206包括设置在腔室主体202中的基座238。基座238支撑基板处理腔室200内的基板236。基座238将基板236支撑在基座238的支撑表面239上。基座238包括加热器和设置在其中的电极。电极可接收直流(DC)电压、射频(RF)能量或交流(AC)能量以促进处理。基座238通过升降系统295可移动地设置在内部容积206中。基座238的移动有利于将基板236通过穿过腔室主体202形成的狭缝阀传送进出内部容积206。基座238也可以移动到不同的处理位置以处理基板236。
在基板处理期间,随着处理气体(诸如处理气体209)流入处理区域210,加热器加热基座238和支撑表面239。同样在基板处理期间,基座238中的电极传播射频(RF)能量、交流(AC)或直流(DC)电压以促进处理区域210中的等离子体生成和/或促进将基板236夹紧到基座238。本公开还设想电源可以耦合到喷头201以提供RF能量、AC或DC电压以促进生成等离子体。来自基座238中的电极的热量、气体和能量有助于在基板处理期间以一个或多个无定形碳硬模层的形式将膜沉积到基板236上。面板230通过耦合到腔室主体202而接地,面板230和基座238的电极有利于电容等离子体耦合的形成。当向基座238中的电极供电时,在面板230和基座238之间生成电场,使得存在于基座238和面板230之间的处理区域210中的气体原子被离子化并释放电子。经离子化原子加速到基座238以促进在基板236上形成一个或多个无定形碳硬模层。
泵送设备203设置在基板处理腔室200中。泵送设备203有助于从内部容积206和处理区域210去除气体。泵送设备203排出的气体包括处理气体和处理残留物中的一者或多者。处理残留物可能来自将膜沉积到基板236上的工艺。泵送设备203包括设置在腔室主体202的阶梯表面293上的泵送衬套260和设置在基座238和泵送衬套260之间的隔离环259。
基板处理腔室200包括设置在腔室主体202底部的净化气体入口213。净化气体入口213是形成在腔室主体202的底表面上的开口。净化气体入口213流体连接到净化气体源214,净化气体源214将一种或多种净化气体279供应到净化气体入口213。一种或多种净化气体279包括一种或多种惰性气体,诸如Ar和/或N2中的一者或多者。一种或多种净化气体279沿净化气体流动路径211流动。盆212设置在内部容积206中。盆212限定净化气体容积215。一个或多个波纹管217可以设置在净化气体容积215中。一个或多个波纹管221设置在盆212的水平部分212b上方和基座238的底表面298下方。一个或多个波纹管221将死容积(dead volume)263与位于一个或多个波纹管221和盆212的垂直部分212a之间的净化气体流动路径211的一部分分隔开。在基板处理操作期间,当处理气体209从喷头201流入处理区域210时,净化气体入口213将一种或多种净化气体279流入净化气体容积215。盆212的水平部分212b包括一个或多个净化气体开口297,一个或多个净化气体开口297使净化气体279从净化气体容积215流动并流入净化气体流动路径211。处理气体209和净化气体279在支撑表面239处或附近相遇和/或混合。处理气体209和净化气体279混合以形成由泵送设备203排出的气体混合物。泵送设备203包括泵送衬套260和隔离环259。气体混合物通过第一导管276和第二导管278、通过前级管线272排出并排出至真空泵233。真空泵233控制处理区域210内的压力并从处理区域110排出气体和残留物。
图3是根据一种实施方式的退火腔室300的局部示意性截面视图。退火腔室300是快速热退火腔室。退火腔室300可用作图1中的系统100的退火腔室102。将要在退火腔室300中处理的基板236通过阀门(诸如狭缝阀)或访问端口313提供到退火腔室300的处理容积318中。基板236由环形边缘环314支撑在其周边上。边缘环314具有与基板236的拐角接触的环形倾斜架315。基板236被定向成使得已经形成在基板236的顶表面上的处理特征316面向上朝向辐射加热装置324。基板236是在上述沉积腔室200中处理的基板。经处理的特征316包括一个或多个无定形碳硬模层。
退火腔室300包括腔室主体302和设置在腔室主体302内的处理容积318。腔室主体302包括一个或多个侧壁303。处理容积318在其上侧由透明石英窗320限定。退火腔室300在基板236上进行快速热退火操作。在可以与其他实施例结合的一个实施例中,快速热退火操作能够以每秒约10摄氏度或更高的速率,例如以每秒约10度至每秒约250度的速率或更高的速率均匀加热基板236。快速热退火操作的斜降(冷却)速率在每秒约80摄氏度至每秒约150摄氏度的范围内。本公开的各方面也可以应用于快速退火,诸如在5秒以下,诸如小于1秒,或在多个毫秒内对基板进行退火。
当基板236由诸如机器人之类的基板传送装置搬运时,三个升降杆322被升高和降低以接合和支撑基板236的底表面(诸如背侧表面)。机器人的机械叶片和/或机器人的机械臂延伸穿过阀门或访问端口313以将基板236提供到退火腔室300中并提供到升降杆322上。然后将基板236降低到边缘环314上。为了加热处理容积318中的基板236,辐射加热装置324定位在窗320上方以将辐射能量导向基板236。在退火腔室300中,辐射加热装置包括多个加热灯326,这些加热灯326定位在相应的反射管327中,反射管327在窗320上方以六边形密排阵列排列。多个加热灯326包括高强度卤钨灯。多个加热灯326定位在边缘环314上方。
加热灯326涉及电阻加热以快速升高或斜升辐射源的温度以斜变处理容积318和基板236的温度。加热灯326可以包括具有围绕灯丝的玻璃或二氧化硅的包封的白炽灯和卤钨白炽灯,以及包括围绕诸如氙气之类的气体的玻璃或二氧化硅的包封的闪光灯。加热灯326可以包括弧光灯,所述弧光灯包括围绕气体或蒸气的玻璃、陶瓷或二氧化硅的包封。此类灯在气体通电时提供辐射热。如本文所提供的,术语“灯”旨在包括具有包围热源的包封的灯。灯的“热源”是指可以增加基板236的温度的材料或元件,例如,可以通电的灯丝或气体。本公开设想可以使用一个或多个退火激光器和/或嵌入在基座(基板236将被支撑在其上)中的一个或多个电阻加热设备来替代于或附加于多个加热灯326使用,以加热基板236。
反射器328平行于基板236并在大于基板236的区域之上延伸,并且面向基板236的底表面,从而高效地将从基板236发射的热辐射反射回基板236。在可以与其他实施例结合的一个实施例中,包括反射板以增强基板236的表观发射率(apparent emissivity)。可以具有金涂层或多层介电干涉镜的反射器328在基板236的背部有效地形成黑体腔,所述黑体腔用于将热量从基板236的较热部分分配到较冷部分。腔室主体302包括上壁321和下壁353。反射器328设置在形成于下壁353中的水冷基底上,所述水冷基底由诸如金属之类的材料制成,所述材料被选择为用于能够诸如在冷却期间从基板236散热过量辐射。上壁321包括石英窗320,下壁353基本上平行于上壁321。下壁353可以由明显不透明的材料制成,诸如金属。
边缘环314被设置并支撑在支撑筒331上,并且在边缘环314热膨胀和/或收缩时可相对于支撑筒331和转子330移动。边缘环314也可以使用支撑筒331和转子330移动。支撑筒331可以包括绝热材料。支撑筒331被支撑在转子330上,并且可以耦合到转子330或形成为转子330的一部分。转子330和支撑筒331是可旋转的。转子330是圆柱形的。转子330磁悬浮在腔室主体302中。转子330磁性耦合到定位在腔室主体302的一个或多个侧壁303外部的驱动环332。重力和从边缘环315的下表面向下延伸的下肩部有助于将边缘环314保持在支撑筒331和转子330上。在可以与其他实施例结合的一个实施例中,驱动环332是可旋转的法兰并且磁耦合到转子330,使得转子330随着驱动环332的旋转而旋转。在这样的实施例中,电机转动驱动环332以转动转子330。在可以与其他实施例结合的一个实施例中,驱动环332相对于腔室主体302固定并且包括电线圈,当通电时,所述电线圈生成磁力以磁性地旋转和/或悬浮转子330。当转子330旋转时,边缘环314和支撑在边缘环314上的基板236围绕基板236的中心轴线334旋转。
加热灯326可以被划分为围绕中心轴线334以大致环状形式布置的加热区。控制电路改变输送到不同区中的加热灯326的电压,从而调整辐射能量的径向分布。一个或多个高温计340通过一个或多个光管342耦合,一个或多个光管342被定位成通过反射器328中的孔而面向基板236的底表面。一个或多个高温计340测量跨静止或旋转基板236的半径的温度。光管342可以由包括蓝宝石、金属和二氧化硅纤维的各种结构形成。高温计340设置在边缘环314下方,并且边缘环314设置在高温计340和多个加热灯326之间。在可以与其他实施例结合的一个实施例中,反射涂层350的膜可以设置在窗320的面向加热灯326的一侧。在可以与其他实施例结合的一个实施例中,反射涂层351设置在窗320的面向基板236的一侧。在图1所示的实施方式中,反射涂层350和351设置在窗320的两侧。反射涂层350和351有助于阻挡高温计带宽中的辐射传播通过反射涂层350和351,从而有助于高温计340的精确测量。
图4是根据一种实施方式的蚀刻腔室400的截面局部示意视图。蚀刻腔室400包括基板支撑组件401,基板支撑组件401支撑如上所述在退火腔室300中退火的基板236。蚀刻腔室400可用作图1中的系统100的蚀刻腔室124。蚀刻腔室400可以是等离子体处理腔室。蚀刻腔室400是被配置为进行低温蚀刻操作的低温蚀刻腔室。对保持在低温蚀刻温度的基板236进行干式反应离子蚀刻使得离子能够轰击设置在基板236上的材料的面朝上表面,同时减少自发蚀刻,从而形成具有平滑、垂直侧壁的沟槽。例如,设置在均匀地保持在低温蚀刻温度的基板236上的低k介电材料的孔隙中的离子扩散减少,同时离子继续轰击低k介电材料的面朝上表面以形成具有光滑、垂直侧壁的沟槽。此外,可以在低温处理温度下提高蚀刻一种材料相对于另一种材料的选择性。例如,硅(Si)和二氧化硅(SiO2)之间的选择性随着温度的降低而指数增长。
蚀刻腔室400包括腔室主体402,腔室主体402具有包围处理区域410的侧壁404、底部406和盖408。注入装置412耦合到腔室主体402的侧壁404和/或盖408。气体面板414耦合到注入装置412以允许将处理气体提供到处理区域410中。注入装置412可以是一个或多个喷嘴或入口端口,或者替代地是喷头。处理气体连同任何处理副产物通过形成在腔室主体402的侧壁404或底部406中的排气口416而从处理区域410去除。排气口416耦合到泵送系统440,泵送系统440包括节流阀和泵以用于控制处理区域410内的真空水平。
处理气体可以被激发以在处理区域410内形成等离子体。可以通过将RF功率电容或电感耦合到处理气体来激发处理气体。在所示的可以与其他实施例组合的实施例中,多个线圈418设置在蚀刻腔室400的盖408上方并且通过匹配电路420耦合到RF电源422。基板支撑组件401设置在注入装置412下方的处理区域410中。基板支撑组件401包括静电卡盘(ESC)403和ESC基底组件405。ESC基底组件405耦合到ESC 403和设施板407。由接地板411支撑的设施板407被配置为促进与基板支撑组件401的电连接、冷却连接、加热连接和气体连接。接地板411由蚀刻腔室400的底部406支撑。绝缘板409使设施板407与接地板411绝缘。
ESC基底组件405包耦接到低温冷却器417的基底通道450。在可以与其他实施例组合的一个实施例中,低温冷却器417通过连接到基底通道450的入口的基底入口导管423并且通过连接到基底通道450的出口的基底出口导管425与基底通道450流体连通,使得ESC基底组件405保持在低温蚀刻温度。在可与本文所述的其他实施例结合的一个实施例中,低温冷却器417连接到接口盒以控制基底流体的流率。基底流体可以包括能够维持低温蚀刻温度(诸如低于0摄氏度)的材料。低温冷却器417提供基底流体,所述基底流体通过ESC基底组件405的基底通道450进行循环。流过基底通道450的基底流体使ESC基底组件405能够保持在低温蚀刻温度,这有助于控制ESC 403的横向温度分布,使得设置在ESC 403上的基板236均匀地保持在低温蚀刻温度。在可与本文所述的其他实施例结合的一个实施例中,低温冷却器417是可操作以将低温温度保持在低温蚀刻温度的单级冷却器。在可以与本文所述的其他实施例结合的另一个实施例中,低温冷却器417是两级冷却器,所述两级冷却器利用两级冷却器内部的制冷剂,使得基底流体保持在低温蚀刻温度。
设施板407包括耦合到冷却器419的设施通道451。冷却器419通过连接到设施通道451的入口的设施入口导管427并且经由连接到设施通道451的出口的设施出口导管429与设施通道451流体连通,使得设施板407被保持在预定的环境温度。在可以与其他实施例结合的一个实施例中,低温冷却器417耦合到接口盒以控制设施流体的流率。设施流体可以包括能够保持在约0摄氏度至约60摄氏度之间的环境温度的材料。冷却器419提供设施流体,所述设施流体通过设施板407的设施通道451进行循环。流经设施通道451的设施流体使设施板407能够保持在预定环境温度,这有助于将绝缘板409保持在环境温度。
ESC 403具有支撑表面430和与支撑表面430相对的底表面432。在可以与其他实施例结合的一个实施例中,ESC 403由陶瓷材料制成,诸如氧化铝(Al2O3)、氮化铝(AlN)或其他合适的材料。ESC 403可由聚合物制成,诸如聚酰亚胺、聚醚醚酮、聚芳醚酮等。ESC 403包括设置在其中的卡盘电极426。卡盘电极426通过RF滤波器和设施板407耦合到卡盘电源434,卡盘电源434提供DC功率以将基板236静电地固定到ESC 403的支撑表面430。RF过滤器防止用于在蚀刻腔室400内形成等离子体(未示出)的RF功率损坏电气装置或在腔室外部出现电气危险。
ESC 403包括嵌入其中的一个或多个电阻加热器428。电阻加热器428用于将ESC403的温度升高到适合于处理设置在支撑表面430上的基板236的低温处理温度。电阻加热器428通过设施板407和RF滤波器耦合到加热器电源436。RF过滤器防止用于在蚀刻腔室400内形成等离子体(未示出)的RF功率损坏电气装置或在腔室外部出现电气危险。加热器电源436可以向电阻加热器428提供500瓦或更多的功率。控制器120耦合到加热器电源436以控制加热器电源436的操作,加热器电源436通常被设置为将基板236加热到低温蚀刻温度。在可以与其他实施例组合的一个实施例中,电阻加热器428包括多个横向分离的加热区,其中控制器120使得电阻加热器428的至少一个区相对于位于其他区中的一个或多个区中的电阻加热器428被优先加热。例如,电阻加热器428可以同心地布置在多个分开的加热区中。电阻加热器428将基板236维持在适合于处理的低温蚀刻温度。
基板支撑组件401可以包括设置在其中的一个或多个探针以确定ESC 403和/或ESC基底组件405的温度。在可与本文所述的其他实施例结合的一个实施例中,一个或多个低温光学探针组件与控制器120耦合。在可以与本文所述的其他实施例结合的一个实施例中,低温光学探针组件中的每一者对应于电阻加热器428的多个横向分离的加热区中的区,其中低温光学探针测量ESC 403的每个区的温度。控制器120耦合到加热器电源436,使得电阻加热器428的每个区被独立地加热,以使ESC 403的横向温度分布基于温度测量是基本均匀的,使得设置在ESC 403上的基板236均匀地保持在低温蚀刻温度。
图5是根据一种实施方式的处理基板的方法500的示意视图。方法500的操作501包括将基板(诸如基板236)传送到沉积腔室中,所述沉积腔室可以是用作如上文关于图1和图2所述的沉积腔室101的基板处理腔室200。
所述方法的操作502包括在沉积腔室中将一个或多个无定形碳硬模层沉积到基板上。将一个或多个无定形碳硬模层沉积在形成于基板前侧表面上的多个基底层上。在可以与其他实施例结合的一个实施例中,多个基底层包括以交替布置来设置的多个氧化物层和多个氮(诸如氮化物)层。在可以与其他实施例结合的一个实施例中,多个基底层包括以交替布置来设置的多个氧化物层和多个硅(诸如多晶硅)层。一个或多个无定形碳硬模层和多个基底层用于在基板的前侧表面上形成多个膜叠层。沉积的一个或多个无定形碳硬模层具有sp2基质(matrix)或sp3基质。与需要sp3基质的操作相比,诸如sp2基质之类的各方面有助于降低成本并增加器件功能的模块化。
在操作502的沉积操作期间沉积一个或多个无定形碳硬模层。一个或多个无定形碳硬模层在300摄氏度至750摄氏度的范围内的沉积温度下沉积到基板的多个基底层上。在可与其他实施例结合的一个实施例中,沉积温度在600摄氏度至700摄氏度的范围内。
一个或多个无定形碳硬模层以12托或更小、诸如在0.5托至12托的范围内的沉积压力沉积到基板的多个基底层上。将一个或多个无定形碳硬模层沉积到7微米或更小、诸如在0.1微米至7微米的范围内的硬模厚度。本公开设想可以使用其他硬模厚度值。本公开设想基板的一个或多个无定形碳硬模层可用于形成存储设备或逻辑设备。沉积在多个基底层上的一个或多个无定形碳硬模层包括硬模组成物。硬模组成物包括碳并且可以包括一种或多种掺杂剂,诸如硼、钨和/或氮。
在将基板传送到沉积腔室中之后,沉积一个或多个无定形碳硬模层发生在沉积腔室中。沉积一个或多个无定形碳硬模层包括使一种或多种反应性前驱物气体流入沉积腔室并在沉积腔室中生成等离子体以将反应物沉积在多个基底膜上以形成一个或多个无定形碳硬模层。一种或多种反应性前驱物气体包括碳、氢和/或氮中的一者或多者,诸如N2、H2、C2H2和/或C3H6。生成等离子体包括使一种或多种惰性气体流入沉积腔室,同时施加电力(诸如射频功率)以生成等离子体。一种或多种惰性气体包括氦气和/或氩气中的一者或多者。等离子体是惰性稳定等离子体。一种或多种反应性前驱物气体以在100标准立方厘米每分钟(SCCM)至2,000SCCM范围内的第一流率流动。一种或多种惰性气体以在0SCCM至11,900SCCM范围内的第二流率流动。总流率将第一流率和第二流率相加。总流率在1,000SCCM到12,000SCCM的范围内。
在操作503处,基板被传送出沉积腔室并进入退火腔室(诸如用作退火腔室102的退火腔室300)。在环境温度(诸如室温)下将基板传送到退火腔室中。
方法500的操作504包括在基板上进行快速热退火操作。快速热退火操作在退火腔室中进行。快速热退火操作持续达退火时间。退火时间为60秒或更短,诸如在2秒至30秒的范围内。在可以与其他实施例组合的一个实施例中,退火时间在8秒至12秒的范围内,诸如10秒。
快速热退火操作包括将基板加热到在600摄氏度至1,000摄氏度的范围内的退火温度,并且保持退火时间的剩余部分的退火温度。在可与其他实施例结合的一个实施例中,退火温度在880摄氏度至920摄氏度的范围内,诸如900摄氏度。可以在基板的背侧表面、基板的前侧表面、一个或多个无定形碳硬模层的表面和/或多个基底层的表面上测量退火温度。使用多个加热灯中的一个或多个加热灯、一个或多个退火激光和/或嵌入在基板被支撑在其上的基座中的一个或多个电阻加热设备来加热基板。可以从基板上方和/或从基板下方加热基板。
快速热退火操作包括使退火气体组成物流入退火腔室并且在加热基板的同时将基板暴露于退火气体组成物。退火气体组成物包括一种或多种惰性气体。退火气体组成物包括氩气、氮气、氦气和/或氢气中的一者或多者。退火气体组成物以在14,000SCCM至16,000SCCM范围内、诸如约15,000SCCM的退火流率流动。快速热退火操作在退火压力下进行。在可以与其他实施例结合的一个实施例中,退火压力是环境压力,诸如室压(例如,约760托)。在可以与其他实施例结合的一个实施例中,退火压力在250毫托至760托的范围内。
在快速热退火操作之后,基板的一个或多个无定形碳硬模层具有由快速热退火操作改变的膜应力。在可以与其他实施例结合的一个实施例中,(诸如当进入退火腔室的基板具有高于100MPa的膜应力时)快速热退火操作将一个或多个无定形碳硬模层的膜应力降低到100MPa或更低。在可以与其他实施例结合的一个实施例中,(诸如当进入退火腔室的基板具有压缩的膜应力时)快速热退火操作将一个或多个无定形碳硬模层的膜应力改变为拉伸的。快速热退火操作减少了基板的弯曲。
在快速热退火操作之后,基板的一个或多个无定形碳硬模层的氢含量为14%或更少,诸如在5%至12%的范围内,或在5%至10%的范围内。例如,可以通过使用氢前向散射光谱法(HFS)来确定氢含量。在快速热退火操作之后,基板的一个或多个无定形碳硬模层具有40GPa或更大、诸如在45GPa至60GPa的范围内的杨氏模量。在快速热退火操作之后,基板的一个或多个无定形碳硬模层具有1.75g/cm3或更大、诸如在1.81g/cm3至1.90g/cm3的范围内的膜密度。与其他退火操作相比,膜密度有助于在快速热退火操作期间减少膜损失。在快速热退火操作之后,基板的一个或多个无定形碳硬模层在低温蚀刻操作(诸如关于操作508描述的蚀刻)期间相对于多个基底层具有蚀刻选择性。蚀刻选择性为1.0或更大,诸如在1.01至1.10的范围内。蚀刻选择性有助于低温蚀刻操作的高蚀刻选择性。
在操作505处,基板被传送出退火腔室并进入平版印刷腔室(诸如平版印刷腔室123)。
方法500的操作506包括图案化基板。图案化可以包括在基板上进行平版印刷操作,诸如光刻操作。在平版印刷腔室中进行基板的图案化。在可以与其他实施例结合的一个实施例中,一个或多个光发射器(诸如空间光调制器的那些光发射器)将光投射到基板上以图案化基板。
在操作507处,基板被传送出平版印刷腔室并进入蚀刻腔室(诸如用作蚀刻腔室124的蚀刻腔室400)。
方法500的操作508包括蚀刻基板。蚀刻在蚀刻腔室中进行。蚀刻基板包括在蚀刻温度下对基板进行蚀刻操作。蚀刻温度是0摄氏度或更低的低温蚀刻温度。在可以与其他实施例结合的一个实施例中,低温蚀刻温度为-20摄氏度或更低,或-50摄氏度或更低。在可以与其他实施例结合的一个实施例中,低温蚀刻温度在-120摄氏度至0摄氏度的范围内。蚀刻包括将基板暴露于蚀刻气体,诸如原硅酸四乙酯(TEOS)。
操作504的快速热退火操作在操作502的沉积一个或多个无定形碳硬模层之后和在操作506的图案化基板之前进行。操作504的快速热退火操作在操作508的蚀刻基板之前进行。在可以与其他实施例组合的一个实施例中,在操作508的蚀刻基板之前进行操作506的图案化基板。
可以重复操作501-508中的一者或多者。在可以与其他实施例组合的一个实施例中,进行操作502以沉积1.5微米的第一硬模厚度,并且进行操作504以使第一硬模厚度退火。重复操作502以沉积1.5微米的第二硬模厚度并且进行操作504以对第二硬模厚度进行退火。重复操作502、504有助于形成3微米的总硬模厚度并具有中性膜应力,诸如拉伸膜应力和/或100MPa或更小的膜应力。
本公开的益处包括:改变无定形碳硬模层的压缩应力(诸如通过减小压缩应力或将压缩应力改变为拉伸应力);增强无定形碳硬模层的蚀刻选择性,诸如用于与低温蚀刻操作一起使用;减少氢含量;减少退火时间;减少无定形碳硬模层的损失;和增强的性质,例如诸如杨氏模量之类的机械性质。本公开的好处还包括:减少基板的弯曲;减少对在基板背侧表面上沉积的需求;减少对高沉积温度的需求;减少使用sp3碳的需求;减少操作时间;增强的器件性能;减少资源支出;增加产量;并减少硬模层的损失。预期可以组合本文公开的一个或多个方面。作为示例,系统100、基板处理腔室200(沉积腔室)、退火腔室300、蚀刻腔室400和/或方法500的一个或多个方面、特征、部件和/或特性可以是结合。此外,预期本文公开的一个或多个方面可包括上述益处中的一些或全部。
本文所述的各方面实现了优于涉及了提高沉积温度(这可以降低使用沉积腔室的模块化)的其他操作、需要使用sp3碳硬模的操作以及涉及在基板上进行背侧沉积以解决基板弯曲的操作的前述益处。
本文所述的操作参数有助于非晶碳硬模层的低温蚀刻的中性膜应力、高杨氏模量和高蚀刻选择性,同时有助于高膜密度(以有助于减少膜损失)。这样的操作参数包括例如退火时间、退火温度、退火压力、退火气体组成物和退火流率。本文公开的操作参数有助于产生出乎意料的结果,因为其他操作可能导致显著低的蚀刻选择性、显著的膜损失或压缩和/或超过100MPa的膜应力。例如,当使用本文公开的退火温度和退火时间时,人们可能会发现出乎意料的膜密度(和膜损失)。作为另一个示例,人们可能会发现使用本文公开的操作参数获得的诸如杨氏模量之类的机械特性是出乎意料的。据信,例如,所用的退火温度、所用的退火时间和快速热退火操作后的氢含量会促进出乎意料的结果。
虽然前述内容针对本公开的实施例,但是可以在不背离本公开的基本范围的情况下设计本公开的其他和进一步的实施例。本公开还设想本文描述的实施例的一个或多个方面可以替代所描述的其他方面中的一个或多个。本公开的范围由所附权利要求书确定。

Claims (20)

1.一种处理基板的方法,包括以下步骤:
将一个或多个无定形碳硬模层沉积到所述基板上;
在沉积所述一个或多个无定形碳硬模层之后在所述基板上进行快速热退火操作,所述快速热退火操作持续达60秒或更短的退火时间,并且所述快速热退火操作包括以下步骤:
将所述基板加热至600摄氏度至1000摄氏度的范围内的退火温度;以及
在进行所述快速热退火操作之后蚀刻所述基板。
2.根据权利要求1所述的方法,其中蚀刻所述基板的步骤包括以下步骤:在0摄氏度或更低的蚀刻温度下在所述基板上进行蚀刻操作。
3.根据权利要求1所述的方法,其中所述一个或多个无定形碳硬模层具有sp2基质或sp3基质。
4.根据权利要求1所述的方法,其中所述退火时间在8秒至12秒的范围内,所述退火温度在880摄氏度至920摄氏度的范围内,并且所述快速热退火操作在250毫托至760托的范围内的退火压力下进行。
5.根据权利要求4所述的方法,其中所述快速热退火操作进一步包括以下步骤:将所述基板暴露于退火气体组成物,所述退火气体组成物包括氩气、氮气、氦气或氢气中的一者或多者。
6.根据权利要求5所述的方法,其中所述一个或多个无定形碳硬模层在300摄氏度至750摄氏度的范围内的沉积温度和12托或更小的沉积压力下被沉积到所述基板上。
7.根据权利要求6所述的方法,进一步包括以下步骤:在进行所述快速热退火操作之后图案化所述基板。
8.根据权利要求1所述的方法,其中所述一个或多个无定形碳硬模层包括7微米或更小的硬模厚度。
9.根据权利要求1所述的方法,其中所述快速热退火操作将所述一个或多个无定形碳硬模层的膜应力改变为100MPa或更小。
10.根据权利要求1所述的方法,其中所述快速热退火操作将所述一个或多个无定形碳硬模层的膜应力改变为拉伸的。
11.一种用于处理基板的非暂时性计算机可读介质,所述非暂时性计算机可读介质包括指令,所述指令在被执行时导致进行多个操作,所述多个操作包括:
将一个或多个无定形碳硬模层沉积到所述基板上;
在沉积所述一个或多个无定形碳硬模层之后在所述基板上进行快速热退火操作,所述快速热退火操作持续达60秒或更短的退火时间,并且所述快速热退火操作包括:
将所述基板加热至600摄氏度至1000摄氏度的范围内的退火温度;以及
在进行所述快速热退火操作之后蚀刻所述基板。
12.根据权利要求11所述的非暂时性计算机可读介质,其中蚀刻所述基板包括在0摄氏度或更低的蚀刻温度下在所述基板上进行蚀刻操作。
13.根据权利要求11所述的非暂时性计算机可读介质,其中所述一个或多个无定形碳硬模层具有sp2基质或sp3基质。
14.根据权利要求11所述的非暂时性计算机可读介质,其中所述退火时间在8秒到12秒的范围内,并且所述退火温度在880摄氏度到920摄氏度的范围内。
15.根据权利要求14所述的非暂时性计算机可读介质,其中所述快速热退火操作进一步包括将所述基板暴露于退火气体组成物,所述退火气体组成物包括氩气、氮气、氦气或氢气中的一者或多者。
16.根据权利要求15所述的非暂时性计算机可读介质,其中所述一个或多个无定形碳硬模层在300摄氏度至750摄氏度的范围内的沉积温度和在12托或更低的沉积压力下被沉积在所述基板上。
17.根据权利要求16所述的非暂时性计算机可读介质,其中所述多个操作进一步包括在所述快速热退火操作之后图案化所述基板。
18.根据权利要求11所述的非暂时性计算机可读介质,其中所述快速热退火操作将所述一个或多个无定形碳硬模层的膜应力改变为100MPa或更小。
19.一种用于处理基板的系统,包括:
沉积腔室;
退火腔室;
传送腔室,所述传送腔室耦合到所述沉积腔室和所述退火腔室;
低温蚀刻腔室;
控制器,所述控制器包含指令,所述指令在被执行时导致:
所述沉积腔室将一个或多个无定形碳硬模层沉积到所述基板上,
所述退火腔室在将所述一个或多个无定形碳硬模层沉积到所述基板上之后对所述基板进行快速热退火操作,所述快速热退火操作持续达60秒或更短的退火时间,以及所述快速热退火操作包括:
将所述基板加热至600摄氏度至1000摄氏度的范围内的退火温度;以及
在进行所述快速热退火操作之后,所述低温蚀刻腔室蚀刻所述基板。
20.根据权利要求19所述的系统,其中所述沉积腔室、所述退火腔室和所述传送腔室被安装到集群工具的框架上。
CN202280019632.5A 2021-02-18 2022-02-14 使用一个或多个无定形碳硬模层来处理基板的方法、系统和装置 Pending CN116941014A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/179,103 US11694902B2 (en) 2021-02-18 2021-02-18 Methods, systems, and apparatus for processing substrates using one or more amorphous carbon hardmask layers
US17/179,103 2021-02-18
PCT/US2022/016255 WO2022177836A1 (en) 2021-02-18 2022-02-14 Methods, systems, and apparatus for processing substrates using one or more amorphous carbon hardmask layers

Publications (1)

Publication Number Publication Date
CN116941014A true CN116941014A (zh) 2023-10-24

Family

ID=82801438

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280019632.5A Pending CN116941014A (zh) 2021-02-18 2022-02-14 使用一个或多个无定形碳硬模层来处理基板的方法、系统和装置

Country Status (6)

Country Link
US (2) US11694902B2 (zh)
JP (1) JP2024507833A (zh)
KR (1) KR20230144636A (zh)
CN (1) CN116941014A (zh)
TW (1) TW202240668A (zh)
WO (1) WO2022177836A1 (zh)

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6440878B1 (en) 2000-04-03 2002-08-27 Sharp Laboratories Of America, Inc. Method to enhance the adhesion of silicon nitride to low-k fluorinated amorphous carbon using a silicon carbide adhesion promoter layer
US6900002B1 (en) * 2002-11-19 2005-05-31 Advanced Micro Devices, Inc. Antireflective bi-layer hardmask including a densified amorphous carbon layer
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
KR100880326B1 (ko) 2006-09-29 2009-01-28 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20090016857A (ko) 2007-08-13 2009-02-18 주식회사 하이닉스반도체 반도체 소자 제조 방법
KR101130065B1 (ko) 2008-01-30 2012-03-29 도쿄엘렉트론가부시키가이샤 어모퍼스 하이드로 카본막의 후처리 방법 및 그의 방법을 사용한 전자 디바이스의 제조 방법, 및 관련 기억 매체 및 관련 처리 시스템
US20140263173A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Methods for improving etching resistance for an amorphous carbon film
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
WO2015105651A1 (en) 2014-01-08 2015-07-16 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US9583358B2 (en) * 2014-05-30 2017-02-28 Samsung Electronics Co., Ltd. Hardmask composition and method of forming pattern by using the hardmask composition
US9741581B2 (en) * 2016-01-11 2017-08-22 Globalfoundries Inc. Using tensile mask to minimize buckling in substrate
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch

Also Published As

Publication number Publication date
US11694902B2 (en) 2023-07-04
KR20230144636A (ko) 2023-10-16
JP2024507833A (ja) 2024-02-21
WO2022177836A1 (en) 2022-08-25
US20220262643A1 (en) 2022-08-18
TW202240668A (zh) 2022-10-16
US20230317463A1 (en) 2023-10-05

Similar Documents

Publication Publication Date Title
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US7862683B2 (en) Chamber dry cleaning
TWI484577B (zh) 適合蝕刻高深寬比特徵結構之蝕刻反應器
KR100870852B1 (ko) 배면 오염의 저감을 위한 인 시츄 웨이퍼 열처리
US20130319615A1 (en) Apparatus and method for treating substrates
US20060228818A1 (en) Edge temperature compensation in thermal processing particularly useful for SOI wafers
KR20120091380A (ko) 화학적 산화물 제거 처리 시스템 및 방법
JP2005517295A (ja) リアクタ組立体および処理方法
CN111199918A (zh) 用于隔离结构的伸缩衬里层
US20090305515A1 (en) Method and apparatus for uv curing with water vapor
JP2007531306A (ja) 分圧を使用して化学的酸化物除去プロセスを調整するための方法およびシステム
TW201534410A (zh) 使用低射頻偏壓頻率應用來清潔非晶碳沉積殘留物之清潔製程
US20230377958A1 (en) Cluster processing system for forming a metal containing material
KR100967458B1 (ko) 에칭 방법 및 에칭 장치
JP3946640B2 (ja) プラズマ処理装置およびプラズマ処理方法
CN116941014A (zh) 使用一个或多个无定形碳硬模层来处理基板的方法、系统和装置
KR20210097045A (ko) 에칭 방법, 기판 처리 장치, 및 기판 처리 시스템
US7189653B2 (en) Etching method and etching apparatus
US20230335377A1 (en) Showerhead assembly with heated showerhead
US20240136229A1 (en) Channel uniformity horizontal gate all around device
TW202217960A (zh) 蝕刻方法及電漿處理裝置
JP2019062045A (ja) ボロン系膜の平坦化方法およびボロン系膜の形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination