KR20230144636A - 하나 이상의 비정질 탄소 하드마스크 층들을 사용하여 기판들을 프로세싱하기 위한 방법들, 시스템들, 및 장치 - Google Patents

하나 이상의 비정질 탄소 하드마스크 층들을 사용하여 기판들을 프로세싱하기 위한 방법들, 시스템들, 및 장치 Download PDF

Info

Publication number
KR20230144636A
KR20230144636A KR1020237031431A KR20237031431A KR20230144636A KR 20230144636 A KR20230144636 A KR 20230144636A KR 1020237031431 A KR1020237031431 A KR 1020237031431A KR 20237031431 A KR20237031431 A KR 20237031431A KR 20230144636 A KR20230144636 A KR 20230144636A
Authority
KR
South Korea
Prior art keywords
substrate
anneal
rapid thermal
chamber
amorphous carbon
Prior art date
Application number
KR1020237031431A
Other languages
English (en)
Inventor
크리슈나 니탈라
사라 미셸 보벡
광덕 더글라스 리
라트사미 림둘파이분
디미트리 키우시스
카르틱 자나키라만
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230144636A publication Critical patent/KR20230144636A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

양상들은 일반적으로 하나 이상의 비정질 탄소 하드마스크 층들을 사용하여 기판들을 프로세싱하기 위한 방법들, 시스템들, 및 장치에 관한 것이다. 하나의 양상에서, 향상된 에칭 선택도를 촉진하면서 막 응력이 변경된다. 하나의 구현예에서, 기판을 프로세싱하는 방법은 하나 이상의 비정질 탄소 하드마스크 층들을 기판 상에 증착하는 것, 및 하나 이상의 비정질 탄소 하드마스크 층들을 증착한 이후 기판에 대해 급속 열 어닐(rapid thermal anneal) 동작을 수행하는 것을 포함한다. 급속 열 어닐 동작은 60 초 이하의 어닐 시간 동안 지속된다. 급속 열 어닐 동작은 기판을 섭씨 600 도 내지 섭씨 1,000 도의 범위 내에 있는 어닐 온도까지 가열하는 것을 포함한다. 방법은 급속 열 어닐 동작을 수행한 이후 기판을 에칭하는 것을 포함한다.

Description

하나 이상의 비정질 탄소 하드마스크 층들을 사용하여 기판들을 프로세싱하기 위한 방법들, 시스템들, 및 장치
[0001] 양상들은 일반적으로 하나 이상의 비정질(amorphous) 탄소 하드마스크 층들을 사용하여 기판들을 프로세싱하기 위한 방법들, 시스템들, 및 장치에 관한 것이다. 하나의 양상에서, 향상된 에칭 선택도를 촉진하면서 막 응력(film stress)이 변경된다.
[0002] 기판들의 하드마스크 층들은 높은 압축 응력을 가질 수 있는데, 이것은 동작들에 대해 부적합할 수 있다. 한 예로서, 높은 압축 응력은 박리를 야기할 수 있고 디바이스 성능을 방해할 수 있다. 압축 응력을 감소시키기 위한 노력들은 더 긴 동작 시간들, 리소스들의 더 많은 지출, 감소된 스루풋, 및 하드마스크 층들의 손실을 수반할 수 있다. 압축 응력을 감소시키기 위한 노력들은, 다르게는, 에칭 선택도의 손실을 수반할 수 있다.
[0003] 따라서, 감소된 동작 시간들, 감소된 리소스들의 소비, 증가된 스루풋, 및 하드마스크 층들의 감소된 손실을 용이하게 하기 위해 향상된 에칭 선택도 및 막 응력의 변경을 촉진하는 개선된 방법들, 시스템들, 및 장치에 대한 필요성이 존재한다.
[0004] 양상들은 일반적으로 하나 이상의 비정질 탄소 하드마스크 층들을 사용하여 기판들을 프로세싱하기 위한 방법들, 시스템들, 및 장치에 관한 것이다. 하나의 양상에서, 향상된 에칭 선택도를 촉진하면서 막 응력이 변경된다.
[0005] 하나의 구현예에서, 기판을 프로세싱하는 방법은 하나 이상의 비정질 탄소 하드마스크 층들을 기판 상에 증착하는 것, 및 하나 이상의 비정질 탄소 하드마스크 층들을 증착한 이후 기판에 대해 급속 열 어닐(rapid thermal anneal) 동작을 수행하는 것을 포함한다. 급속 열 어닐 동작은 60 초 이하의 어닐 시간 동안 지속된다. 급속 열 어닐 동작은 기판을 섭씨 600 도 내지 섭씨 1,000 도의 범위 내에 있는 어닐 온도까지 가열하는 것을 포함한다. 방법은 급속 열 어닐 동작을 수행한 이후 기판을 에칭하는 것을 포함한다.
[0006] 하나의 구현예에서, 기판을 프로세싱하기 위한 비일시적 컴퓨터 판독 가능 매체는, 실행될 때, 복수의 동작들이 수행되게 하는 명령들을 포함한다. 복수의 동작들은 하나 이상의 비정질 탄소 하드마스크 층들을 기판 상에 증착하는 것, 및 하나 이상의 비정질 탄소 하드마스크 층들을 증착한 이후 기판에 대해 급속 열 어닐 동작을 수행하는 것을 포함한다. 급속 열 어닐 동작은 60 초 이하의 어닐 시간 동안 지속된다. 급속 열 어닐 동작은 기판을 섭씨 600 도 내지 섭씨 1,000 도의 범위 내에 있는 어닐 온도까지 가열하는 것을 포함한다. 복수의 동작들은 급속 열 어닐 동작을 수행한 이후 기판을 에칭하는 것을 포함한다.
[0007] 하나의 구현예에서, 기판을 프로세싱하기 위한 시스템은 증착 챔버, 어닐 챔버, 증착 챔버 및 어닐 챔버에 결합되는 이송 챔버, 및 극저온 에칭 챔버(cryogenic etch chamber)를 포함한다. 시스템은, 실행될 때, 증착 챔버가 하나 이상의 비정질 탄소 하드마스크 층들을 기판 상에 증착하게 하는 명령들을 포함하는 컨트롤러를 포함한다. 명령들은, 실행될 때, 어닐 챔버가, 하나 이상의 비정질 탄소 하드마스크 층들을 기판 상에 증착한 이후, 기판에 대해 급속 열 어닐 동작을 수행하게 한다. 급속 열 어닐 동작은 60 초 이하의 어닐 시간 동안 지속된다. 급속 열 어닐 동작은 기판을 섭씨 600 도 내지 섭씨 1,000 도의 범위 내에 있는 어닐 온도까지 가열하는 것을 포함한다. 명령들은, 실행될 때, 급속 열 어닐 동작이 수행된 이후 극저온 에칭 챔버가 기판을 에칭하게 한다.
[0008] 그러므로 본 개시내용의 상기 기재된 피처들이 상세하게 이해될 수 있는 방식으로, 상기에서 간략하게 요약된 본 개시내용의 더 상세한 설명이 실시예들에 대한 참조에 의해 이루어질 수 있는데, 그들 중 일부는 첨부된 도면들에서 예시된다. 그러나, 첨부된 도면들은 단지 예시적인 실시예들을 예시하는 것이므로 범위를 제한하는 것으로 간주되지 않아야 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은, 하나의 구현예에 따른, 기판들을 프로세싱하기 위한 시스템의 개략적인 부분도이다.
[0010] 도 2는, 하나의 구현예에 따른, 기판 프로세싱 챔버의 개략적인 단면도이다.
[0011] 도 3은, 하나의 구현예에 따른, 어닐 챔버의 개략적인 부분 단면도이다.
[0012] 도 4는, 하나의 구현예에 따른, 에칭 챔버의 개략적인 부분 단면도이다.
[0013] 도 5는, 하나의 구현예에 따른, 기판들을 프로세싱하는 방법의 개략도이다.
[0014] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 하나의 실시예의 엘리먼트들 및 피처들은 추가적인 기재없이 다른 실시예들에서 유익하게 통합될 수 있다는 것이 고려된다.
[0015] 양상들은 일반적으로 하나 이상의 비정질 탄소 하드마스크 층들을 사용하여 기판들을 프로세싱하기 위한 방법들, 시스템들, 및 장치에 관한 것이다. 하나의 양상에서, 향상된 에칭 선택도를 촉진하면서 막 응력이 변경된다.
[0016] 도 1은, 하나의 구현예에 따른, 기판들을 프로세싱하기 위한 시스템(100)의 개략적인 부분도이다. 시스템(100)은 복수의 프로세스 챔버들(101, 102)을 갖는 클러스터 도구(103)를 포함한다. 프로세스 챔버들(101, 102)이 예시되어 있지만, 시스템(100)은 이송 챔버(112) 주위에 배치되며 이송 챔버(112)에 결합되는 다섯 개보다 더 많은 또는 더 적은 프로세스 챔버들을 포함할 수 있다는 것이 고려된다. 클러스터 도구(103)는, 도 1에서 제2 클러스터 도구(122)로서 집합적으로 도시되는, 하나 이상의 추가적인 클러스터 도구들 및/또는 하나 이상의 다른 시스템들에 통신 가능하게, 전기적으로, 기계적으로, 또는 다른 방식으로 결합될 수 있다. 기판들은 이송 시스템을 사용하여 클러스터 도구(103)와 제2 클러스터 도구(122) 사이에서 이송되고 주변 조건들에 노출될 수 있다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 기판들은 클러스터 도구(103)의 팩토리 인터페이스(factory interface; 114)로부터 제2 클러스터 도구(122)로 또는 제2 클러스터 도구(122)로부터 클러스터 도구(103)의 팩토리 인터페이스(114) 안으로 이송된다. 팩토리 인터페이스(114)로부터, 기판들은 이송 챔버(112)로 이송될 수 있다. 이송 챔버(112)의 이송 볼륨(118)은 환경적으로 제어된 환경, 예컨대 온도 및/또는 압력이 설정 및 유지될 수 있고 및/또는 조정될 수 있는 환경일 수 있다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 이송 챔버(112)는 진공 압력 하에 유지된다. 중앙 이송 로봇(116)은 프로세스 챔버들(101, 102) 간에 그리고 그들 사이에서 기판들을 이송하도록 구성된다. 시스템(100)은 복수의 프로세스 챔버들(101, 102)의 복제 챔버들을 포함할 수 있다는 것이 고려된다.
[0017] 클러스터 도구(103)는 이송 챔버(112)에 결합되는 증착 챔버(101) 및 어닐 챔버(102)를 포함한다. 본 개시내용은 다른 프로세스 챔버들, 예컨대 기판들 상에서 세정 동작을 수행하도록 구성되는 세정 챔버들이 이송 챔버(112) 주위에 배치되어 이송 챔버(112)에 결합될 수 있다는 것을 고려한다. 제2 클러스터 도구(122)는 리소그래피 챔버(123) 및 에칭 챔버(124)를 포함한다. 증착 챔버(101)는 하나 이상의 비정질 탄소 하드마스크 층들을 기판들 상에 증착하도록 구성된다. 어닐 챔버(102)는 기판들에 대해 급속 열 어닐 동작을 수행하도록 구성된다. 에칭 챔버(124)는 기판들에 대해 에칭 동작, 예컨대 극저온 에칭 동작을 수행하도록 구성된다. 리소그래피 챔버(123)는 기판들에 대해 패턴화 동작, 예컨대 포토리소그래피 패턴화 동작을 수행하도록 구성된다. 증착 챔버(101), 어닐 챔버(102), 및 이송 챔버(112)는 클러스터 도구(103)의 프레임(104) 상으로 장착된다.
[0018] 컨트롤러(120)는 증착 챔버(101), 어닐 챔버(102), 리소그래피 챔버(123) 및 에칭 챔버(124)의 동작들을 제어하기 위해 시스템(100)의 클러스터 도구(103) 및 제2 클러스터 도구(122)에 결합된다. 컨트롤러(120)는 중앙 프로세싱 유닛(central processing unit; CPU)(131), 명령들을 포함하는 메모리(132), 및 CPU(131)에 대한 지원 회로들(133)을 포함한다. 컨트롤러(120)는 시스템(100)을 직접적으로 제어하거나, 또는 클러스터 도구(103) 및 제2 클러스터 도구(122)에 결합되는 다른 컴퓨터들 및/또는 컨트롤러들(도시되지 않음)을 통해 제어한다. 컨트롤러(120)는 다양한 챔버들 및 기기, 및 그 상의 또는 그 내부의 하위 프로세서들을 제어하기 위한 산업 환경에서 사용되는 임의의 형태의 범용 컴퓨터 프로세서이다.
[0019] 메모리(132), 또는 비일시적 컴퓨터 판독 가능 매체는 랜덤 액세스 메모리(random access memory; RAM), 리드 온리 메모리(read only memory; ROM), 플로피 디스크, 하드 디스크, 플래시 드라이브, 또는, 로컬의 또는 원격의, 임의의 다른 형태의 디지털 스토리지와 같은 쉽게 이용 가능한 메모리 중 하나 이상이다. 지원 회로들(133)은 CPU(131)를 지원하기 위해 CPU(131)(프로세서)에 결합된다. 지원 회로들(133)은 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로부(circuitry) 및 서브시스템들, 등을 포함한다. 기판 프로세싱 파라미터들 및 동작들은, 컨트롤러(120)를, 시스템(100)의 동작들을 제어하기 위한 특정한 목적의 컨트롤러로 만들도록 실행되는 또는 호출되는 소프트웨어 루틴으로서 메모리(132)에 저장된다. 컨트롤러(120)는 본원에서 설명되는 방법들 중 임의의 것을 수행하도록 구성된다. 메모리(132) 상에 저장되는 명령들은, 실행될 때, 방법(500)의 동작들(501-508) 중 하나 이상으로 하여금 수행되게 한다.
[0020] 시스템(100)은 시스템(100)의 하나 이상의 양상들의 조건들 및/또는 속성들, 예컨대 이송 챔버(112)의 이송 볼륨(118), 증착 챔버(101)의 프로세싱 볼륨, 어닐 챔버(102)의 프로세싱 볼륨, 리소그래피 챔버(123)의 프로세싱 볼륨, 및/또는 에칭 챔버(124)의 프로세싱 볼륨을 모니터링하는 하나 이상의 계측 센서들을 포함한다. 시스템(100)은 하나 이상의 센서들(191a-191d)(네 개가 도시됨)을 갖는 하나 이상의 모듈들(190)(하나가 도시됨)을 포함한다. 센서들(191a-191d)을 갖는 모듈(190)은 이송 챔버(112)의 이송 볼륨(118)에 배치된다. 본 개시내용은 하나 이상의 센서들을 갖는 하나 이상의 모듈들이 증착 챔버(101), 어닐 챔버(102), 리소그래피 챔버(123) 및/또는 에칭 챔버(124) 중 하나 이상에 배치될 수 있다는 것을 고려한다.
[0021] 센서들(191a-191d)을 갖는 모듈(190)은 챔버(101, 102, 112, 123, 및 124) 중 하나 이상에 부착될 수 있는데, 예컨대 개개의 챔버(101, 102, 112, 123, 또는 124)의 내부 표면에 부착될 수 있다. 센서들(191a-191d) 중 적어도 하나는, 예컨대 중앙 이송 로봇(116)이 기판들을 이송하는 동안, 이송 볼륨(118) 내의 오염물들, 및 그 농도들을 검출하도록, 모니터링하도록, 그리고/또는 측정하도록 구성된다. 하나의 예에서, 하나 이상의 센서들(191a-191d)은 하나 이상의 현장(in-situ) 계측 센서들을 포함한다.
[0022] 센서들(191a-191d) 중 적어도 하나는, 예컨대 기판들이 중앙 이송 로봇(116)에 의해 이송되고 있는 동안 또는 기판들이 프로세스 챔버들(101, 102, 123, 124)에서 프로세싱되고 있는 동안, 시스템(100)에서 프로세싱되는 기판들의 조건들 및/또는 속성들을 검출하도록, 모니터링하도록, 그리고/또는 측정하도록 구성된다. 하나의 예에서, 하나 이상의 센서들(191a-191d)은 하나 이상의 온웨이퍼 분광법 센서(on-wafer spectroscopy sensor)들 및/또는 하나 이상의 오염물 센서들을 포함한다. 하나의 예에서, 하나 이상의 센서들(191a-191d)은 하나 이상의 산소 센서들, 하나 이상의 수증기 센서들, 하나 이상의 X선 형광 분광법(X-ray fluorescence spectroscopy; XRF) 센서들, 및/또는 하나 이상의 X 선 광전자 분광법(X-ray photoelectron spectroscopy; XPS) 센서들을 포함한다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 센서들(191a-191d)은 다음의 것을 측정하도록 구성된다: (예컨대, 급속 열 어닐 동작 이전에 및/또는 이후에) 기판들의 하나 이상의 비정질 탄소 하드마스크 층들의 하나 이상의 두께들, 기판들의 만곡(bow), 및/또는 기판들의 하나 이상의 비정질 탄소 하드마스크 층들의 막 응력.
[0023] 컨트롤러(120)에 의해 실행되는 복수의 명령들은 오염물들, 조건들, 및/또는 속성들을 검출, 모니터, 및/또는 측정할 것을 하나 이상의 센서들(191a-191d)에게 지시하는 명령들을 포함한다. 컨트롤러(120)의 메모리(132)에 있는 명령들은 본원에서 설명되는 동작들에 더하여 실행될 수 있는 하나 이상의 머신 러닝/인공 지능 알고리즘들을 포함할 수 있다. 한 예로서, 컨트롤러(120)에 의해 실행되는 머신 러닝/인공 지능 알고리즘은 하나 이상의 센서들(191a-191d)에 의해 취해지는 하나 이상의 센서들 측정치들에 기초하여 동작 파라미터들을 최적화하고 변경할 수 있다. 동작 파라미터들은, 예를 들면, 어닐 시간, 어닐 온도, 어닐 압력, 어닐 가스 조성, 어닐 유량(anneal flow rate), 증착 온도, 증착 압력, 제1 유량, 제2 유량, 총 유량, 반응성 전구체 가스들 조성, 불활성 가스들 조성, 에칭 온도, 하드마스크 두께, 막 응력, 기판의 만곡, 수소 함량, 에칭 선택도, 영률(Young's Modulus), 및/또는 막 밀도 ― 각각은 하기에서 설명됨 ― 를 포함할 수 있다.
[0024] 하나 이상의 머신 러닝/인공 지능 알고리즘들은, 어닐 온도와 같은 동작 파라미터들을 최적화하기 위해, 기판의 만곡, 급속 열 어닐 동작 이후의 측정된 막 두께, 및/또는 급속 열 어닐 동작 이후의 측정된 막 응력을 고려할 수 있다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 하나 이상의 머신 러닝/인공 지능 알고리즘들은, 증착 동작 및/또는 급속 열 어닐 동작이 한 번 이상의 추가적인 횟수들로 반복되어야 하는지를 결정하기 위해, 급속 열 어닐 동작 이후의 막 두께(예컨대 하드마스크 두께) 및 막 응력을 측정할 수 있다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 하나 이상의 머신 러닝/인공 지능 알고리즘들은 하나 이상의 비정질 탄소 하드마스크 층들이 중립적인 막 응력, 예컨대 100 MPa 이하의 막 응력 또는 인장 막 응력(tensile film stress)을 달성하는 데 걸리는 최적화된 어닐 시간을 결정할 수 있다. 다른 예들과 조합될 수 있는 하나의 예에서, 유입하는 기판의 만곡이 측정되고 하나 이상의 머신 러닝/인공 지능 알고리즘들은 최적화된 어닐 시간을 결정한다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 컨트롤러(120)에 의해 실행되는 하나 이상의 머신 러닝/인공 지능 알고리즘들은 최적화된 어닐 시간, 최적화된 어닐 온도, 최적화된 어닐 압력, 최적화된 어닐 가스 조성, 최적화된 어닐 유량, 및/또는 급속 열 어닐 동작을 위한 되풀이하는 반복들의 횟수를 결정한다.
[0025] 도 2는, 하나의 구현예에 따른, 기판 프로세싱 챔버(200)의 개략적인 단면도이다. 기판 프로세싱 챔버(200)는, 예를 들면, 화학적 기상 증착(chemical vapor deposition; CVD) 챔버 또는 플라즈마 강화 CVD(plasma enhanced CVD; PECVD) 챔버일 수 있다. 본 개시내용은 다른 챔버들, 예컨대 원자 층 증착(atomic layer deposition; ALD) 챔버 또는 물리적 기상 증착(physical vapor deposition; PVD) 챔버가 사용될 수 있다는 것을 고려한다. 기판 프로세싱 챔버(200)는 도 1에서 도시되는 시스템(100)의 증착 챔버(101)로서 사용될 수 있는 증착 챔버이다.
[0026] 기판 프로세싱 챔버(200)는 챔버 본체(202) 및 챔버 본체(202) 상에 배치되는 챔버 덮개(204)를 갖는다. 챔버 본체(202)는 그 안에서 내부 볼륨(206)을 포함한다. 본 개시내용은 챔버 본체(202)가 단일의 본체, 또는 두 개 이상의 본체들로 제조될 수 있다는 것을 고려한다. 챔버 본체(202)는 하나 이상의 측벽들 및 베이스를 포함한다. 기판 프로세싱 챔버(200)는 하나 이상의 프로세싱 가스들(209)의 흐름을 샤워헤드(201)를 통해 프로세싱 영역(210)으로 전달하기 위해 챔버 덮개(204)에 결합되는 또는 챔버 덮개(204)에 배치되는 가스 분배 어셈블리(216)를 포함한다. 하나 이상의 프로세싱 가스들은, 다른 가스들 중에서도, 아르곤 및/또는 C3H6 중 하나 이상을 포함할 수 있다. 하나의 예에서, 하나 이상의 프로세싱 가스들은 (플라즈마를 생성하기 위해) 하나 이상의 불활성 가스들 및 하나 이상의 반응성 전구체 가스들을 포함한다. 샤워헤드(201)는 백킹 플레이트(backing plate)(226) 및 페이스플레이트(faceplate; 230)를 포함한다. 가스 분배 어셈블리(216)는 챔버 덮개(204)에 형성되는 가스 유입구 통로(gas inlet passage; 220)에 결합되는 가스 매니폴드(218)를 포함한다. 가스 매니폴드(218)는 하나 이상의 가스 소스들(222)(두 개가 도시됨)로부터 하나 이상의 프로세싱 가스들의 흐름을 수용한다. 하나 이상의 가스 소스들(222)로부터 수용되는 프로세싱 가스들의 흐름은 가스 박스(224) 전역에서 분배되고, 백킹 플레이트(226)의 복수의 개구들(291)을 통해 흐르고, 백킹 플레이트(226) 및 페이스플레이트(230)에 의해 정의되는 플리넘(plenum; 228) 전역에서 추가로 분배된다. 그 다음, 프로세싱 가스들(209)의 흐름은 샤워헤드(201)의 페이스플레이트(230)의 하부 표면(lower surface; 219)에 형성되는 하나 이상의 가스 개구들(232)을 통해 내부 볼륨(206)의 프로세싱 영역(210) 안으로 흐른다.
[0027] 내부 볼륨(206)은 챔버 본체(202)에 배치되는 페디스털(238)을 포함한다. 페디스털(238)은 기판 프로세싱 챔버(200) 내에서 기판(236)을 지지한다. 페디스털(238)은 페디스털(238)의 지지 표면(239) 상에서 기판(236)을 지지한다. 페디스털(238)은 내부에 배치되는 히터 및 전극을 포함한다. 전극은 프로세싱을 용이하게 하기 위해 직류(direct current; DC) 전압, 라디오 주파수(radio frequency; RF) 에너지, 또는 교류(alternating current; AC) 에너지를 수신할 수 있다. 페디스털(238)은 리프트 시스템(295)에 의해 내부 볼륨(206)에 이동 가능하게 배치된다. 페디스털(238)의 이동은 챔버 본체(202)를 통해 형성되는 슬릿 밸브를 통해 내부 볼륨(206)으로의 그리고 내부 볼륨(206)으로부터의 기판(236)의 이송을 용이하게 한다. 페디스털(238)은 기판(236)의 프로세싱을 위해 상이한 프로세싱 포지션들로 또한 이동될 수 있다.
[0028] 기판 프로세싱 동안, 프로세싱 가스들(예컨대, 프로세싱 가스들(209))이 프로세싱 영역(210) 안으로 흐를 때, 히터가 페디스털(238) 및 지지 표면(239)을 가열한다. 또한 기판 프로세싱 동안, 페디스털(238)의 전극은, 프로세싱 영역(210)에서의 플라즈마 생성을 용이하게 하기 위해 그리고/또는 페디스털(238)에 대한 기판(236)의 척킹을 용이하게 하기 위해, 라디오 주파수(RF) 에너지, 교류(AC), 또는 직류(direct current; DC) 전압을 전파한다. 본 개시내용은 플라즈마 생성을 용이하게 하기 위해 RF 에너지, AC, 또는 DC 전압을 공급하도록 전력 소스가 샤워헤드(201)에 결합될 수 있다는 것을 또한 고려한다. 페디스털(238)에 있는 전극으로부터의 열, 가스들, 및 에너지는 기판 프로세싱 동안 기판(236) 상으로의 하나 이상의 비정질 탄소 하드마스크 층들 형태의 막의 증착을 용이하게 한다. 챔버 본체(202)에 대한 결합을 통해 접지되는 페이스플레이트(230), 및 페디스털(238)의 전극은 용량성 플라즈마 결합의 형성을 용이하게 한다. 페디스털(238)의 전극에 전력이 공급될 때, 페디스털(238)과 페이스플레이트(230) 사이의 프로세싱 영역(210)에 존재하는 가스들의 원자들이 이온화되어 전자들을 방출하도록, 페이스플레이트(230)와 페디스털(238) 사이에 전기장이 생성된다. 이온화된 원자들은, 기판(236) 상에서의 하나 이상의 비정질 탄소 하드마스크 층들의 형성을 용이하게 하기 위해, 페디스털(238) 쪽으로 가속된다.
[0029] 펌핑 디바이스(203)는 기판 프로세싱 챔버(200)에 배치된다. 펌핑 디바이스(203)는 내부 볼륨(206) 및 프로세싱 영역(210)으로부터 가스들의 제거를 용이하게 한다. 펌핑 디바이스(203)에 의해 배출되는 가스들은 프로세싱 가스 및 프로세싱 잔류물 중 하나 이상을 포함한다. 프로세싱 잔류물은 기판(236) 상으로 막을 증착하는 프로세스로부터 유래할 수 있다. 펌핑 디바이스(203)는 챔버 본체(202)의 계단식 표면(293) 상에 배치되는 펌핑 라이너(260), 및 페디스털(238)과 펌핑 라이너(260) 사이에 배치되는 아이솔레이터 링(isolator ring; 259)을 포함한다.
[0030] 기판 프로세싱 챔버(200)는 챔버 본체(202)의 저부에 배치되는 퍼지 가스 유입구(213)를 포함한다. 퍼지 가스 유입구(213)는 챔버 본체(202)의 저부 표면에 형성되는 개구이다. 퍼지 가스 유입구(213)는 하나 이상의 퍼지 가스들(279)을 퍼지 가스 유입구(213)에 공급하는 퍼지 가스 소스(214)에 유체 흐름 가능하게 연결된다. 하나 이상의 퍼지 가스들(279)은 하나 이상의 불활성 가스들, 예컨대 하나 이상의 Ar 및/또는 N2를 포함한다. 하나 이상의 퍼지 가스들(279)은 퍼지 가스 흐름 경로(211)를 따라 흐른다. 보울(bowl; 212)이 내부 볼륨(206)에 배치된다. 보울(212)은 퍼지 가스 볼륨(215)을 정의한다. 하나 이상의 벨로우즈(bellows)들(217)이 퍼지 가스 볼륨(215)에 배치될 수 있다. 하나 이상의 벨로우즈들(221)이 보울(212)의 수평 부분(212b) 위에 그리고 페디스털(238)의 저부 표면(298) 아래에 배치된다. 하나 이상의 벨로우즈들(221)은 하나 이상의 벨로우즈들(221)과 보울(212)의 수직 부분(212a) 사이에 있는 퍼지 가스 흐름 경로(211)의 일부로부터 데드 볼륨(dead volume; 263)을 분리한다. 기판 프로세싱 동작들 동안, 그리고 프로세싱 가스들(209)이 샤워헤드(201)로부터 프로세싱 영역(210) 안으로 흐르는 동안, 퍼지 가스 유입구(213)는 하나 이상의 퍼지 가스들(279)을 퍼지 가스 볼륨(215) 안으로 흐르게 한다. 보울(212)의 수평 부분(212b)은 퍼지 가스(279)를 퍼지 가스 볼륨(215)으로부터 퍼지 가스 흐름 경로(211) 안으로 흐르게 하는 하나 이상의 퍼지 가스들 개구들(297)을 포함한다. 프로세싱 가스들(209) 및 퍼지 가스들(279)은 지지 표면(239)에서 또는 그 근처에서 만나고 및/또는 혼합된다. 프로세싱 가스들(209) 및 퍼지 가스들(279)은 혼합되어 펌핑 디바이스(203)에 의해 배출되는 가스 혼합물을 형성한다. 펌핑 디바이스(203)는 펌핑 라이너(260) 및 아이솔레이터 링(259)을 포함한다. 가스 혼합물은 제1 도관(276) 및 제2 도관(278)을 통해, 포라인(foreline; 272)을 통해, 그리고 진공 펌프(233) 쪽으로 배출된다. 진공 펌프(233)는 프로세싱 영역(210) 내의 압력을 제어하고 프로세싱 영역(110)으로부터 가스들 및 잔류물을 배출한다.
[0031] 도 3은, 하나의 구현예에 따른, 어닐 챔버(300)의 개략적인 부분 단면도이다. 어닐 챔버(300)는 급속 열 어닐 챔버이다. 어닐 챔버(300)는 도 1의 시스템(100)의 어닐 챔버(102)로서 사용될 수 있다. 어닐 챔버(300)에서 프로세싱될 기판(236)은 밸브(예컨대, 슬릿 밸브) 또는 액세스 포트(313)를 통해 어닐 챔버(300)의 프로세싱 볼륨(318) 안으로 제공된다. 기판(236)은 환형 에지 링(314)에 의해 그 주변 상에서 지지된다. 에지 링(314)은 기판(236)의 코너와 접촉하는 환형의 기울어진 선반(annular sloping shelf; 315)을 갖는다. 기판(236)은 기판(236)의 최상부 표면(top surface) 상에 이미 형성되어 있는 프로세싱된 피처들(316)이 복사 가열 장치(324)를 향하여 상방으로 향하도록 배향된다. 기판(236)은 상기에서 설명되는 증착 챔버(200)에서 프로세싱된 기판이다. 프로세싱된 피처들(316)은 하나 이상의 비정질 탄소 하드마스크 층들을 포함한다.
[0032] 어닐 챔버(300)는 챔버 본체(302) 및 챔버 본체(302) 내에 배치되는 프로세싱 볼륨(318)을 포함한다. 챔버 본체(302)는 하나 이상의 측벽들(303)을 포함한다. 프로세싱 볼륨(318)은 투명한 석영 윈도우(320)에 의해 상부 측(upper side) 상에서 정의된다. 어닐 챔버(300)는 기판(236)에 대해 급속 열 어닐 동작을 수행한다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 급속 열 어닐 동작은 초당 섭씨 약 10 도 이상의 레이트들, 예를 들면, 초당 약 10 도 내지 초당 약 250 도 이상의 레이트들에서 기판(236)을 균일하게 가열할 수 있다. 급속 열 어닐 동작을 위한 램프 다운(ramp-down)(냉각) 레이트들은 초당 섭씨 약 80 도 내지 초당 섭씨 약 150 도의 범위 내에 있다. 본 개시내용의 양상들은 플래시 어닐링(flash annealing), 예컨대 5 초 미만, 예컨대 1 초 미만에서, 또는 복수의 밀리초 내에서 기판을 어닐링하는 것에 또한 적용될 수 있다.
[0033] 기판(236)이 기판 이송 장치, 예컨대 로봇에 의해 핸들링될 때, 세 개의 리프트 핀들(322)이 기판(236)의 저부 표면(예컨대 후면 표면(backside surface))과 맞물려 지지하도록 상승 및 하강된다. 기판(236)을 어닐 챔버(300) 안으로 그리고 리프트 핀들(322) 상으로 제공하기 위해 로봇의 로봇 블레이드 및/또는 로봇의 로봇 암이 밸브 또는 액세스 포트(313)를 통해 연장된다. 그 다음, 기판(236)은 에지 링(314) 상으로 하강된다. 프로세싱 볼륨(318)에서 기판(236)을 가열하기 위해, 복사 가열 장치(324)는 기판(236)을 향해 복사 에너지를 지향시키도록 윈도우(320) 위에 포지셔닝된다. 어닐 챔버(300)에서, 복사 가열 장치는 윈도우(320) 위에서 육각형의 밀집된 어레이(hexagonal close-packed array)로 배열되는 개개의 반사 튜브들(327)에 포지셔닝되는 복수의 가열 램프들(326)을 포함한다. 복수의 가열 램프들(326)은 고휘도 텅스텐-할로겐 램프들을 포함한다. 복수의 가열 램프들(326)은 에지 링(314) 위에 포지셔닝된다.
[0034] 열 램프들(326)은 프로세싱 볼륨(318) 및 기판(236)의 온도들을 상승시키기 위해 복사 소스의 온도를 신속하게 상승시키거나, 또는 증가시키기 위한 저항성 가열을 수반한다. 가열 램프들(326)은 필라멘트를 둘러싸는 유리 또는 실리카의 외피를 갖는 백열등 및 텅스텐 할로겐 백열 램프들 및 가스, 예컨대 제논을 둘러싸는 유리 또는 실리카의 외피를 포함하는 플래시 램프들을 포함할 수 있다. 가열 램프들(326)은 가스 또는 증기를 둘러싸는 유리, 세라믹, 또는 실리카의 외피를 포함하는 아크 램프들을 포함할 수 있다. 그러한 램프들은 가스가 에너지를 공급받을 때 복사 열을 제공한다. 본원에서 제공되는 바와 같이, 용어 램프는 열원을 둘러싸는 외피를 갖는 램프들을 포함하도록 의도된다. 램프의 "열원"은 기판(236)의 온도를 증가시킬 수 있는 재료 또는 엘리먼트, 예를 들면, 활성화될 수 있는 필라멘트 또는 가스를 지칭한다. 본 개시내용은, 기판(236)을 가열하기 위해, 복수의 가열 램프들(326) 대신 또는 그들에 추가하여, 페디스털(그 상에서 기판(236)이 지지될 것임)에 임베딩되는 하나 이상의 저항성 히터 엘리먼트들 및/또는 하나 이상의 어닐 레이저들이 사용될 수 있다는 것을 고려한다.
[0035] 기판(236)보다 더 큰 영역에 대해 평행하게 그리고 그 위에서 연장되며 기판(236)의 저부 표면을 향하는 반사기(328)가 기판(236)으로부터 방출되는 열 복사를 다시 기판(236) 쪽으로 효율적으로 반사한다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 기판(236)의 겉보기 방사율을 향상시키기 위해 반사 플레이트가 포함된다. 금 코팅 또는 다중 층 유전체 간섭 미러를 가질 수 있는 반사기(328)는, 기판(236)의 더 따뜻한 부분들로부터 더 차가운 부분들로 열을 분배하도록 기능하는 흑체 공동을 기판(236)의 후면에서 효과적으로 형성한다. 챔버 본체(302)는 상부 벽(321) 및 하부 벽(353)을 포함한다. 반사기(328)는, 예컨대, 냉각 동안, 기판(236)으로부터 과도한 복사를 열 싱크하는(heat sink) 능력을 위해 선택되는 재료, 예컨대 금속으로 제조되는 하부 벽(353)에 형성되는 수냉식 베이스 상에 배치된다. 상부 벽(321)은 석영 윈도우(320)를 포함하고 하부 벽(353)은 상부 벽(321)과 실질적으로 평행하다. 하부 벽(353)은 상당히 불투명한 재료, 예컨대 금속으로 제조될 수 있다.
[0036] 에지 링(314)은 지지 실린더(331) 상에 배치되고 지지되며, 에지 링(314)의 열 팽창 및/또는 수축 시 지지 실린더(331) 및 로터(330)를 기준으로 이동 가능하다. 에지 링(314)은 지지 실린더(331) 및 로터(330)를 사용하여 또한 이동될 수 있다. 지지 실린더(331)는 단열 재료를 포함할 수 있다. 지지 실린더(331)는 로터(330) 상에서 지지되며, 로터(330)에 결합되거나 또는 그 일부로서 형성될 수 있다. 로터(330) 및 지지 실린더(331)는 회전 가능하다. 로터(330)는 원통형이다. 로터(330)는 챔버 본체(302)에서 자기적으로 부상된다. 로터(330)는 챔버 본체(302)의 하나 이상의 측벽들(303) 외부에 포지셔닝되는 구동 링(332)에 자기적으로 결합된다. 중력들 및 에지 링(315)의 하부 표면으로부터 하방으로 연장되는 하부 숄더는 에지 링(314)을 지지 실린더(331)와 로터(330) 상에서 유지하는 것을 용이하게 한다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 구동 링(332)은 회전 가능한 플랜지이고 로터(330)가 구동 링(332)의 회전과 함께 회전하도록 로터(330)에 자기적으로 결합된다. 그러한 실시예에서, 모터는 구동 링(332)을 회전시켜 로터(330)를 회전시킨다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 구동 링(332)은 챔버 본체(302)에 대해 고정되고 전력을 공급받을 때 로터(330)를 자기적으로 회전시키는 및/또는 부상시키는 자기력들을 생성하는 전기 코일들을 포함한다. 로터(330)가 회전될 때, 에지 링(314) 및 에지 링(314) 상에서 지지되는 기판(236)은 기판(236)의 중심 축(334)을 중심으로 회전된다.
[0037] 열 램프들(326)은 중심 축(334)을 중심으로 일반적으로 링 형상의 형태로 배열되는 가열 구역들로 분할될 수 있다. 제어 회로부(control circuitry)는 상이한 구역들에서 가열 램프들(326)로 전달되는 전압을 변경하고, 그에 의해, 복사 에너지의 방사상 분포를 재단한다. 하나 이상의 파이로미터(pyrometer; 340)들이 반사기(328)의 어퍼처(aperture)들을 통해 기판(236)의 저부 표면을 향하도록 포지셔닝되는 하나 이상의 광학적 광 파이프들(342)을 통해 결합된다. 하나 이상의 파이로미터들(340)은 고정식 또는 회전하는 기판(236)의 반경에 걸쳐 온도를 측정한다. 광 파이프들(342)은 사파이어, 금속, 실리카 섬유를 포함하는 다양한 구조물들로 형성될 수 있다. 파이로미터들(340)은 에지 링(314) 아래에 배치되고, 에지 링(314)은 파이로미터들(340)과 복수의 가열 램프들(326) 사이에 배치된다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 가열 램프들(326)을 향하는 윈도우(320)의 측면 상에 반사성 코팅(350)의 막이 배치될 수 있다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 반사성 코팅(351)은 기판(236)을 향하는 윈도우(320)의 측면 상에 배치된다. 도 1에서 도시되는 구현예에서, 반사성 코팅(350 및 351)이 윈도우(320)의 양 측면들 상에 배치된다. 반사성 코팅(350 및 351)은, 파이로미터들(340)의 정확한 측정들을 용이하게 하기 위해, 파이로미터 대역폭의 복사가 반사성 코팅(350 및 351)을 통해 전파되는 것을 차단하는 것을 용이하게 한다.
[0038] 도 4는, 하나의 구현예에 따른, 에칭 챔버(400)의 개략적인 부분 단면도이다. 에칭 챔버(400)는 상기에서 설명되는 바와 같이 어닐 챔버(300)에서 어닐된 기판(236)을 지지하는 기판 지지체 어셈블리(401)를 포함한다. 에칭 챔버(400)는 도 1의 시스템(100)의 에칭 챔버(124)로서 사용될 수 있다. 에칭 챔버(400)는 플라즈마 프로세싱 챔버일 수 있다. 에칭 챔버(400)는 극저온 에칭 동작을 수행하도록 구성되는 극저온 에칭 챔버이다. 극저온 에칭 온도에서 유지되는 기판(236)을 건식 반응성 이온 에칭하는 것은, 매끄러운 수직 측벽들을 갖는 트렌치들이 형성되도록 감소된 자발적 에칭과 함께 기판(236) 상에 배치되는 재료들의 상방을 향하는 표면들에 이온들이 충돌하는 것을 가능하게 한다. 예를 들면, 극저온 에칭 온도에서 균일하게 유지되는 기판(236) 상에 배치되는 저유전율(low-k) 유전체 재료의 공극률들에서의 이온들의 확산은, 이온들이 저유전율 유전체 재료의 상방을 향하는 표면에 계속 충돌하여 매끄러운 수직 측벽들을 갖는 트렌치들을 형성하는 동안, 감소된다. 추가적으로, 극저온 프로세싱 온도에서, 다른 재료와 대비하여 하나의 재료를 에칭하는 선택도가 개선될 수 있다. 예를 들면, 실리콘(Si)과 실리콘 이산화물(SiO2) 사이의 선택도는 온도가 감소됨에 따라 기하급수적으로 증가한다.
[0039] 에칭 챔버(400)는 프로세싱 영역(410)을 둘러싸는 측벽들(404), 저부(406) 및 덮개(408)를 갖는 챔버 본체(402)를 포함한다. 주입 장치(412)는 챔버 본체(402)의 측벽들(404) 및/또는 덮개(408)에 결합된다. 가스 패널(414)이 주입 장치(412)에 결합되어 프로세스 가스들이 프로세싱 영역(410)으로 제공되는 것을 허용한다. 주입 장치(412)는 하나 이상의 노즐 또는 유입구 포트들, 또는 대안적으로 샤워헤드일 수 있다. 프로세스 가스들은, 임의의 프로세싱 부산물들과 함께, 챔버 본체(402)의 측벽들(404) 또는 저부(406)에 형성되는 배기 포트(416)를 통해 프로세싱 영역(410)으로부터 제거된다. 배기 포트(416)는 프로세싱 영역(410) 내의 진공 레벨들을 제어하기 위해 활용되는 스로틀 밸브들 및 펌프들을 포함하는 펌핑 시스템(440)에 결합된다.
[0040] 프로세스 가스들은 에너지를 부여받아 프로세싱 영역(410) 내에서 플라즈마를 형성할 수 있다. 프로세스 가스들은 RF 전력을 프로세스 가스들에 용량 결합 또는 유도 결합하는 것에 의해 에너지를 부여받을 수 있다. 다른 실시예들과 조합될 수 있는 도시되는 실시예에서, 복수의 코일들(418)이 에칭 챔버(400)의 덮개(408) 위에 배치되고 매칭 회로(420)를 통해 RF 전력 소스(422)에 결합된다. 기판 지지 어셈블리(401)는 주입 장치(412) 아래의 프로세싱 영역(410)에 배치된다. 기판 지지체 어셈블리(401)는 정전 척(ESC)(403) 및 ESC 베이스 어셈블리(405)를 포함한다. ESC 베이스 어셈블리(405)는 ESC(403) 및 설비 플레이트(407)에 결합된다. 접지 플레이트(411)에 의해 지지되는 설비 플레이트(407)는 기판 지지 어셈블리(401)와의 전기, 냉각, 가열 및 가스 연결들을 용이하게 하도록 구성된다. 접지 플레이트(411)는 에칭 챔버(400)의 저부(406)에 의해 지지된다. 절연체 플레이트(409)는 접지 플레이트(411)로부터 설비 플레이트(407)를 절연한다.
[0041] ESC 베이스 어셈블리(405)는 극저온 냉각기(417)에 결합되는 베이스 채널(450)을 포함한다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 극저온 냉각기(417)는, ESC 베이스 어셈블리(405)가 극저온 에칭 온도에서 유지되도록, 베이스 채널(450)의 유입구에 연결되는 베이스 유입구 도관(423)을 통해 그리고 베이스 채널(450)의 유출구에 연결되는 베이스 유출구 도관(425)을 통해 베이스 채널(450)과 유체 연통한다. 본원에서 설명되는 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 극저온 냉각기(417)는 베이스 유체의 유량을 제어하기 위해 인터페이스 박스에 결합된다. 베이스 유체는 극저온 에칭 온도, 예컨대 섭씨 0 도 미만을 유지할 수 있는 재료를 포함할 수 있다. 극저온 냉각기(417)는 ESC 베이스 어셈블리(405)의 베이스 채널(450)을 통해 순환되는 베이스 유체를 제공한다. 베이스 채널(450)을 통해 흐르는 베이스 유체는 ESC 베이스 어셈블리(405)가 극저온 에칭 온도에서 유지되는 것을 가능하게 하는데, 이것은 ESC(403) 상에 배치되는 기판(236)이 극저온 에칭 온도에서 균일하게 유지되도록 ESC(403)의 측면 온도 프로파일을 제어하는 데 도움이 된다. 본원에서 설명되는 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 극저온 냉각기(417)는 극저온 에칭 온도에서 극저온 온도를 유지하도록 동작 가능한 단일 스테이지 냉각기이다. 본원에서 설명되는 다른 실시예들과 조합될 수 있는 다른 실시예에서, 극저온 냉각기(417)는 베이스 유체가 극저온 에칭 온도에서 유지되도록 2 스테이지 냉각기 내부의 냉매를 활용하는 2 스테이지 냉각기이다.
[0042] 설비 플레이트(407)는 냉각기(419)에 결합되는 설비 채널(451)을 포함한다. 냉각기(419)는, 설비 플레이트(407)가 사전 결정된 주위 온도에서 유지되도록, 설비 채널(451)의 유입구에 연결되는 설비 유입구 도관(427) 및 설비 채널(451)의 유출구에 연결되는 설비 유출구 도관(429)을 통해 설비 채널(451)과 유체 연통한다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 극저온 냉각기(417)는 인터페이스 박스에 결합되어 설비 유체의 유량을 제어한다. 설비 유체는 약 섭씨 0 도 내지 약 섭씨 60 도의 주위 온도를 유지할 수 있는 재료를 포함할 수 있다. 냉각기(419)는 설비 플레이트(407)의 설비 채널(451)을 통해 순환되는 설비 유체를 제공한다. 설비 채널(451)을 통해 흐르는 설비 유체는 설비 플레이트(407)가 주위 온도에서 유지되는 것을 가능하게 하는데, 이것은 절연체 플레이트(409)를 사전 결정된 주위 온도에서 유지하는 데 도움이 된다.
[0043] ESC(403)는 지지 표면(430) 및 지지 표면(430) 반대편의 저부 표면(432)을 갖는다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, ESC(403)는 세라믹 재료, 예컨대 알루미나(Al2O3), 알루미늄 질화물(AlN) 또는 다른 적절한 재료로부터 제조된다. ESC(403)는 폴리머, 예컨대 폴리이미드, 폴리에테르에테르케톤, 폴리아릴에테르케톤, 등으로부터 제조될 수 있다. ESC(403)는 내부에 배치되는 척킹 전극(426)을 포함한다. 척킹 전극(426)은 RF 필터 및 설비 플레이트(407)를 통해, ESC(403)의 지지 표면(430)에 기판(236)을 정전기적으로 고정하기 위한 DC 전력을 제공하는 척킹 전력 소스(434)에 결합된다. RF 필터는 에칭 챔버(400) 내에서 플라즈마(도시되지 않음)를 형성하기 위해 활용되는 RF 전력이, 전기 기기를 손상시키는 것 또는 챔버 외부에 전기적 위험을 제공하는 것을 방지한다.
[0044] ESC(403)는 내부에 임베딩되는 하나 이상의 저항성 히터들(428)을 포함한다. 저항성 히터들(428)은 ESC(403)의 온도를, 지지 표면(430) 상에 배치되는 기판(236)을 프로세싱하기에 적절한 극저온의 프로세싱 온도까지 상승시키기 위해 활용된다. 저항성 히터들(428)은 설비 플레이트(407) 및 RF 필터를 통해 히터 전력 소스(436)에 결합된다. RF 필터는 에칭 챔버(400) 내에서 플라즈마(도시되지 않음)를 형성하기 위해 활용되는 RF 전력이, 전기 기기를 손상시키는 것 또는 챔버 외부에 전기적 위험을 제공하는 것을 방지한다. 히터 전력 소스(436)는 저항성 히터들(428)에 500 와트 또는 그보다 더 많은 전력을 제공할 수 있다. 컨트롤러(120)는 히터 전력 소스(436)에 결합되어, 일반적으로 기판(236)을 극저온 에칭 온도까지 가열하도록 설정되는 히터 전력 소스(436)의 동작을 제어한다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 저항성 히터들(428)은 복수의 횡방향으로 분리된 가열 구역들을 포함하는데, 컨트롤러(120)는 저항성 히터들(428)의 적어도 하나의 구역이, 다른 구역들 중 하나 이상에 로케이팅되는 저항성 히터들(428)에 비해, 우선적으로 가열되는 것을 가능하게 한다. 예를 들면, 저항성 히터들(428)은 복수의 분리된 가열 구역들에서 동심원으로 배열될 수 있다. 저항성 히터들(428)은 프로세싱에 적절한 극저온 에칭 온도에서 기판(236)을 유지한다.
[0045] 기판 지지체 어셈블리(401)는 ESC(403) 및/또는 ESC 베이스 어셈블리(405)의 온도를 결정하기 위해 내부에 배치되는 하나 이상의 프로브들을 포함할 수 있다. 본원에서 설명되는 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 하나 이상의 저온 광학 프로브 어셈블리들이 컨트롤러(120)에 결합된다. 본원에서 설명되는 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 저온 광학 프로브 어셈블리들 각각은 저항성 히터들(428)의 복수의 횡방향으로 분리된 가열 구역들 중 한 구역에 대응하는데, 여기서 저온 광학 프로브들은 ESC(403)의 각각의 구역의 온도를 측정한다. 컨트롤러(120)는, ESC(403) 상에 배치되는 기판(236)이 극저온 에칭 온도에서 균일하게 유지되도록 ESC(403)의 측면 온도 프로파일이 온도 측정치들에 기초하여 실질적으로 균일하게 되도록 저항성 히터들(428)의 각각의 구역이 독립적으로 가열되도록 히터 전력 소스(436)에 결합된다.
[0046] 도 5는, 하나의 구현예에 따른, 기판들을 프로세싱하는 방법(500)의 개략도이다. 방법(500)의 동작(501)은 기판(예컨대 기판(236))을 증착 챔버로 이송하는 것을 포함하는데, 그 증착 챔버는 도 1 및 도 2와 관련하여 상기에서 설명되는 바와 같이 증착 챔버(101)로서 사용되는 기판 프로세싱 챔버(200)일 수 있다.
[0047] 방법의 동작(502)은 증착 챔버에서 하나 이상의 비정질 탄소 하드마스크 층들을 기판 상에 증착하는 것을 포함한다. 하나 이상의 비정질 탄소 하드마스크 층들은 기판의 전면 표면(frontside surface)에 형성되는 복수의 베이스 층들 상에 증착된다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 복수의 베이스 층들은 교대하는 배열로 배치되는 복수의 산화물 층들 및 복수의 질소(예컨대, 질화물) 층들을 포함한다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 복수의 베이스 층들은 교대하는 배열로 배치되는 복수의 산화물 층들 및 복수의 실리콘(예컨대, 폴리실리콘) 층들을 포함한다. 하나 이상의 비정질 탄소 하드마스크 층들 및 복수의 베이스 층들은 기판의 전면 표면 상에 복수의 막 스택들을 형성하기 위해 사용된다. 증착된 하나 이상의 비정질 탄소 하드마스크 층들은 sp2 매트릭스 또는 sp3 매트릭스를 갖는다. 양상들, 예컨대 sp2 매트릭스는, sp3 매트릭스를 필요로 하는 동작들과 비교하여, 디바이스 기능의 증가된 모듈성 및 감소된 비용들을 촉진한다.
[0048] 하나 이상의 비정질 탄소 하드마스크 층들은 동작(502)에서 증착 동작 동안 증착된다. 하나 이상의 비정질 탄소 하드마스크 층들은 섭씨 300 도 내지 섭씨 750 도의 범위 내에 있는 증착 온도에서 기판의 복수의 베이스 층들 상에 증착된다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 증착 온도는 섭씨 600 도 내지 섭씨 700 도의 범위 내에 있다.
[0049] 하나 이상의 비정질 탄소 하드마스크 층들은 12 Torr 이하인, 예컨대 0.5 Torr 내지 12 Torr의 범위 내에 있는 증착 압력에서 기판의 복수의 베이스 층들 상에 증착된다. 하나 이상의 비정질 탄소 하드마스크 층들은 7 미크론 이하인, 예컨대 0.1 미크론 내지 7 미크론의 범위 내에 있는 하드마스크 두께로 증착된다. 본 개시내용은 다른 하드마스크 두께 값들이 사용될 수 있다는 것을 고려한다. 본 개시내용은 기판의 하나 이상의 비정질 탄소 하드마스크 층들이 메모리 디바이스 또는 로직 디바이스를 형성하기 위해 사용될 수 있다는 것을 고려한다. 복수의 베이스 층들 상에 증착되는 하나 이상의 비정질 탄소 하드마스크 층들은 하드마스크 조성물을 포함한다. 하드마스크 조성물은 탄소를 포함하고 붕소, 텅스텐, 및/또는 질소와 같은 하나 이상의 도펀트들을 포함할 수 있다.
[0050] 하나 이상의 비정질 탄소 하드마스크 층들을 증착하는 것은 기판을 증착 챔버 안으로 이송한 이후 증착 챔버에서 발생한다. 하나 이상의 비정질 탄소 하드마스크 층들을 증착하는 것은 하나 이상의 반응성 전구체 가스들을 증착 챔버로 흐르게 하는 것 및 증착 챔버에서 플라즈마를 생성하여 복수의 베이스 막들 상에 반응물들을 증착하여 하나 이상의 비정질 탄소 하드마스크 층들을 형성하는 것을 포함한다. 하나 이상의 반응성 전구체 가스들은 탄소, 수소, 및/또는 질소, 예컨대 N2, H2, C2H2 및/또는 C3H6 중 하나 이상을 포함한다. 플라즈마를 생성하는 것은, 플라즈마를 생성하기 위해 전력(예컨대 라디오 주파수 전력)을 인가하는 동안, 하나 이상의 불활성 가스들을 증착 챔버 안으로 흐르게 하는 것을 포함한다. 하나 이상의 불활성 가스들은 헬륨 및/또는 아르곤 중 하나 이상을 포함한다. 플라즈마는 불활성 안정화 플라즈마이다. 하나 이상의 반응성 전구체 가스들은 100 SCCM(standard cubic centimeter per minute; 분당 표준 입방 센티미터) 내지 2,000 SCCM의 범위 내에 있는 제1 유량으로 흐른다. 하나 이상의 불활성 가스들은 0 SCCM 내지 11,900 SCCM의 범위 내에 있는 제2 유량으로 흐른다. 총 유량은 제1 유량 및 제2 유량을 함께 합산한다. 총 유량은 1,000 SCCM 내지 12,000 SCCM의 범위 내에 있다.
[0051] 기판은 동작(503)에서 증착 챔버 밖으로 그리고 어닐 챔버(예컨대 어닐 챔버(102)로서 사용되는 어닐 챔버(300)) 안으로 이송된다. 기판은 주위 온도, 예컨대 실온에서 어닐 챔버 안으로 이송된다.
[0052] 방법(500)의 동작(504)은 기판에 대해 급속 열 어닐 동작을 수행하는 것을 포함한다. 급속 열 어닐 동작은 어닐 챔버에서 수행된다. 급속 열 어닐 동작은 어닐 시간 동안 지속된다. 어닐 시간은 60 초 이하, 예컨대, 2 초 내지 30 초의 범위 내에 있다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 어닐 시간은 8 초 내지 12 초의 범위 이내, 예컨대 10 초이다.
[0053] 급속 열 어닐 동작은 기판을 섭씨 600 도 내지 섭씨 1,000 도의 범위 내에 있는 어닐 온도까지 가열하는 것, 및 나머지 어닐 시간 중 어닐 온도를 유지하는 것을 포함한다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 어닐 온도는 섭씨 880 도 내지 섭씨 920 도의 범위 이내, 예컨대 섭씨 900 도이다. 어닐 온도는 기판의 후면 표면, 기판의 전면 표면, 하나 이상의 비정질 탄소 하드마스크 층들의 표면, 및/또는 복수의 베이스 층들의 표면 상에서 측정될 수 있다. 기판은 복수의 가열 램프들, 하나 이상의 어닐 레이저들, 및/또는 기판이 지지되는 페디스털에는 하나 이상의 저항성 히터 엘리먼트들 중 하나 이상을 사용하여 가열된다. 기판은 기판 위로부터 그리고/또는 기판 아래로부터 가열될 수 있다.
[0054] 급속 열 어닐 동작은 어닐 가스 조성물을 어닐 챔버 안으로 흐르게 하는 것 및 기판을 가열하는 동안 기판을 어닐 가스 조성물에 노출시키는 것을 포함한다. 어닐 가스 조성물은 하나 이상의 불활성 가스들을 포함한다. 어닐 가스 조성물은 아르곤, 질소, 헬륨, 및/또는 수소 중 하나 이상을 포함한다. 어닐 가스 조성물은 14,000 SCCM 내지 16,000 SCCM의 범위 내에 있는, 예컨대 약 15,000 SCCM인 어닐 유량으로 흐른다. 급속 열 어닐 동작은 소정의 어닐 압력에서 수행된다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 어닐 압력은 주위 압력, 예컨대 실내 압력(예를 들면, 약 760 Torr)이다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 어닐 압력은 250 mTorr 내지 760 Torr의 범위 내에 있다.
[0055] 급속 열 어닐 동작 이후, 기판의 하나 이상의 비정질 탄소 하드마스크 층들은 급속 열 어닐 동작에 의해 변경된 막 응력을 갖는다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, (예컨대 어닐 챔버에 진입하는 기판이 100 MPa보다 높은 막 응력을 가질 때) 급속 열 어닐 동작은 하나 이상의 비정질 탄소 하드마스크 층들의 막 응력을 100 MPa 이하가 되도록 감소시킨다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, (예컨대 어닐 챔버에 진입하는 기판이 압축성인 막 응력을 가질 때) 급속 열 어닐 동작은 하나 이상의 비정질 탄소 하드마스크 층들의 막 응력을 인장성이 있도록 변경한다. 급속 열 어닐 동작은 기판의 만곡을 감소시킨다.
[0056] 급속 열 어닐 동작 이후, 기판의 하나 이상의 비정질 탄소 하드마스크 층들은 14 % 이하인, 예컨대 5 % 내지 12 %의 범위 이내에 있는, 또는 5 % 내지 10 %의 범위 이내에 있는 수소 함량을 갖는다. 수소 함량은, 예를 들면, 수소 전방 산란 분광법(hydrogen forward scattering spectrometry; HFS)을 사용하는 것에 의해 결정될 수 있다. 급속 열 어닐 동작 이후, 기판의 하나 이상의 비정질 탄소 하드마스크 층들은 40GPa 이상의, 예컨대 45GPa 내지 60GPa의 범위 내의 영률을 갖는다. 급속 열 어닐 동작 이후, 기판의 하나 이상의 비정질 탄소 하드마스크 층들은 1.75 g/cm3 이상인, 예컨대 1.81 g/cm3 내지 1.90 g/cm3의 범위 이내에 있는 막 밀도를 갖는다. 막 밀도는, 다른 어닐 동작들과 비교하여, 급속 열 어닐 동작 동안 더 적은 막 손실을 용이하게 한다. 급속 열 어닐 동작 이후, 기판의 하나 이상의 비정질 탄소 하드마스크 층들은 극저온 에칭 동작(예컨대 동작(508)과 관련하여 설명되는 에칭) 동안 복수의 베이스 층들에 대해 에칭 선택도를 갖는다. 에칭 선택도는 1.0 이상, 예컨대 1.01 내지 1.10의 범위 이내에 있다. 에칭 선택도는 극저온 에칭 동작들을 위한 높은 에칭 선택도를 용이하게 한다.
[0057] 기판은 동작(예컨대, 505)에서 어닐 챔버 밖으로 그리고 리소그래피 챔버(예컨대 리소그래피 챔버(123)) 안으로 이송된다.
[0058] 방법(500)의 동작(506)은 기판을 패턴화하는 것을 포함한다. 패턴화는 기판에 대해 리소그래피 동작, 예컨대 포토리소그래피 동작을 수행하는 것을 포함할 수 있다. 기판을 패턴화하는 것은 리소그래피 챔버에서 수행된다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 하나 이상의 광 방출기들(예컨대, 공간 광 변조기들의 것들)은 기판을 패턴화하기 위해 기판 상으로 광을 투영한다.
[0059] 기판은 동작(507)에서 리소그래피 챔버 밖으로 그리고 에칭 챔버(예컨대 에칭 챔버(124)로서 사용되는 에칭 챔버(400)) 안으로 이송된다.
[0060] 방법(500)의 동작(508)은 기판을 에칭하는 것을 포함한다. 에칭은 에칭 챔버에서 수행된다. 기판을 에칭하는 것은 에칭 온도에서 기판에 대해 에칭 동작을 수행하는 것을 포함한다. 에칭 온도는 섭씨 0 도 이하의 극저온 에칭 온도이다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 극저온 에칭 온도는 섭씨 -20 도 이하, 또는 섭씨 -50 도 이하이다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 극저온 에칭 온도는 섭씨 -120 도 내지 섭씨 0 도의 범위 내에 있다. 에칭은 테트라에틸 오르쏘실리케이트(tetraethyl orthosilicate; TEOS)와 같은 에칭 가스에 기판을 노출시키는 것을 포함한다.
[0061] 동작(504)의 급속 열 어닐 동작은 동작(502)의 하나 이상의 비정질 탄소 하드마스크 층들을 증착하는 것 이후에 그리고 동작(506)의 기판을 패턴화하는 것 이전에 수행된다. 동작(504)의 급속 열 어닐 동작은 동작(508)의 기판을 에칭하는 것 이전에 수행된다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 동작(506)의 기판을 패턴화하는 것은 동작(508)의 기판을 에칭하는 것 이전에 수행된다.
[0062] 동작들(501-508) 중 하나 이상이 반복될 수 있다. 다른 실시예들과 조합될 수 있는 하나의 실시예에서, 동작(502)은 1.5 미크론인 제1 하드마스크 두께를 증착하기 위해 수행되고 동작(504)은 제1 하드마스크 두께를 어닐하기 위해 수행된다. 동작(502)은 1.5 미크론인 제2 하드마스크 두께를 증착하기 위해 반복되고 동작(504)은 제2 하드마스크 두께를 어닐하기 위해 수행된다. 동작들(502, 504)을 반복하는 것은 3 미크론의 전체 하드마스크 두께를 형성하는 것 및 중립적인 막 응력, 예컨대 100 MPa 이하의 막 응력 및/또는 인장 막 응력을 갖는 것을 용이하게 한다.
[0063] 본 개시내용의 이점들은 비정질 탄소 하드마스크 층들의 압축 응력을 (예컨대, 압축 응력을 감소시키는 것 또는 압축 응력을 인장 응력이 되도록 변경하는 것에 의해) 변경하는 것; 예컨대 극저온 에칭 동작과의 사용을 위한, 비정질 탄소 하드마스크 층들의 향상된 에칭 선택도; 감소된 수소 함량; 감소된 어닐 시간들; 비정질 탄소 하드마스크 층들의 감소된 손실; 및 향상된 속성들, 예를 들면, 영률과 같은 기계적 속성들을 포함한다. 본 개시내용의 이점들은 기판들의 감소된 만곡; 기판들의 후면 표면들 상에서의 증착에 대한 감소된 필요성; 높은 증착 온도들에 대한 감소된 필요성; sp3 탄소 사용에 대한 감소된 필요성; 감소된 동작 시간들; 향상된 디바이스 성능; 리소스들의 감소된 지출; 증가된 스루풋; 및 하드마스크 층들의 감소된 손실을 또한 포함한다. 본원에서 개시되는 하나 이상의 양상들이 조합될 수 있다는 것이 고려된다. 한 예로서, 시스템(100), 기판 프로세싱 챔버(200)(증착 챔버), 어닐 챔버(300), 에칭 챔버(400), 및/또는 방법(500)의 하나 이상의 양상들, 피처들, 컴포넌트들, 및/또는 속성들은 조합될 수 있다. 또한, 본원에서 개시되는 하나 이상의 양상들은 전술한 이익들의 일부 또는 모두를 포함할 수 있다는 것이 고려된다.
[0064] 본원에서 설명되는 양상들은 증착 온도를 증가시키는 것을 수반하는 다른 동작들(이것은 증착 챔버들의 사용의 모듈성을 감소시킬 수 있음), sp3 탄소 하드마스크들의 사용을 필요로 하는 동작들, 및 기판 만곡을 고려하기 위해 기판들 상에서의 후면 증착을 수반하는 동작들에 비해 전술한 이익들을 달성한다.
[0065] 본원에서 설명되는 동작 파라미터들은 (감소된 막 손실을 용이하게 하기 위해) 높은 막 밀도를 용이하게 하면서 비정질 탄소 하드마스크 층들의 극저온 에칭을 위한 중립적인 막 응력, 높은 영률, 및 높은 에칭 선택도를 용이하게 한다. 그러한 동작 파라미터들은, 예를 들면, 어닐 시간, 어닐 온도, 어닐 압력, 어닐 가스 조성, 및 어닐 유량을 포함한다. 본원에서 개시되는 동작 파라미터들은, 다른 동작들이 상당히 낮은 에칭 선택도, 상당한 막 손실, 또는 압축성인 그리고/또는 100 MPa를 초과하는 막 응력을 초래할 수 있기 때문에, 예상치 못한 결과들을 용이하게 한다. 예를 들면, 본원에서 개시되는 어닐 온도 및 어닐 시간을 사용할 때 예상치 못한 막 밀도(및 막 손실)를 발견할 수 있다. 다른 예로서, 본원에서 개시되는 동작 파라미터들을 사용하여 영률과 같은 기계적 속성들이 달성된다는 것은 예상치 못한 것임을 확인할 수 있다. 예를 들면, 사용되는 어닐 온도, 사용되는 어닐 시간, 및 급속 열 어닐 동작에 후속하는 수소 함량에 의해, 예상치 못한 결과들이 촉진되는 것으로 여겨진다.
[0066] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이, 본 개시내용의 기본적인 범위를 벗어나지 않으면서 안출될 수 있다. 본 개시내용은 본원에서 설명되는 실시예들의 하나 이상의 양상들이 설명되는 다른 양상들 중 하나 이상을 대체할 수 있다는 것을 또한 고려한다. 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (20)

  1. 기판을 프로세싱하는 방법으로서,
    하나 이상의 비정질(amorphous) 탄소 하드마스크 층들을 상기 기판 상에 증착하는 단계;
    상기 하나 이상의 비정질 탄소 하드마스크 층들을 증착한 이후 상기 기판에 대해 급속 열 어닐 동작을 수행하는 단계 ― 상기 급속 열 어닐 동작은 60 초 이하인 어닐 시간 동안 지속되고, 상기 급속 열 어닐 동작은:
    상기 기판을 섭씨 600 도 내지 섭씨 1,000 도의 범위 내에 있는 어닐 온도까지 가열하는 것을 포함함 ―; 및
    상기 급속 열 어닐 동작을 수행한 이후 상기 기판을 에칭하는 단계를 포함하는, 기판을 프로세싱하는 방법.
  2. 제1항에 있어서,
    상기 기판을 에칭하는 단계는 섭씨 0 도 이하인 에칭 온도에서 상기 기판에 대해 에칭 동작을 수행하는 단계를 포함하는, 기판을 프로세싱하는 방법.
  3. 제1항에 있어서,
    상기 하나 이상의 비정질 탄소 하드마스크 층들은 sp2 매트릭스 또는 sp3 매트릭스를 갖는, 기판을 프로세싱하는 방법.
  4. 제1항에 있어서,
    상기 어닐 시간은 8 초 내지 12 초의 범위 내에 있고, 상기 어닐 온도는 섭씨 880 도 내지 섭씨 920 도의 범위 내에 있고, 상기 급속 열 어닐 동작은 250 mTorr 내지 760 Torr의 범위 내에 있는 어닐 압력에서 수행되는, 기판을 프로세싱하는 방법.
  5. 제4항에 있어서,
    상기 급속 열 어닐 동작은 상기 기판을 어닐 가스 조성물에 노출시키는 것을 더 포함하고, 상기 어닐 가스 조성물은 아르곤, 질소, 헬륨 또는 수소 중 하나 이상을 포함하는, 기판을 프로세싱하는 방법.
  6. 제5항에 있어서,
    상기 하나 이상의 비정질 탄소 하드마스크 층들은 섭씨 300 도 내지 섭씨 750 도의 범위 내에 있는 증착 온도에서 그리고 12 Torr 이하인 증착 압력에서 상기 기판 상에 증착되는, 기판을 프로세싱하는 방법.
  7. 제6항에 있어서,
    상기 급속 열 어닐 동작이 수행된 이후 상기 기판을 패턴화하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  8. 제1항에 있어서,
    상기 하나 이상의 비정질 탄소 하드마스크 층들은 7 미크론 이하인 하드마스크 두께를 포함하는, 기판을 프로세싱하는 방법.
  9. 제1항에 있어서,
    상기 급속 열 어닐 동작은 상기 하나 이상의 비정질 탄소 하드마스크 층들의 막 응력(film stress)을 100 MPa 이하가 되도록 변경하는, 기판을 프로세싱하는 방법.
  10. 제1항에 있어서,
    상기 급속 열 어닐 동작은 상기 하나 이상의 비정질 탄소 하드마스크 층들의 막 응력을 인장성이 있도록 변경하는, 기판을 프로세싱하는 방법.
  11. 실행시 복수의 동작들이 수행되게 하는 명령들을 포함하는 기판을 프로세싱하기 위한 비일시적 컴퓨터 판독 가능 매체로서,
    상기 복수의 동작들은:
    하나 이상의 비정질 탄소 하드마스크 층들을 상기 기판 상에 증착하는 동작;
    상기 하나 이상의 비정질 탄소 하드마스크 층들을 증착한 이후 상기 기판에 대해 급속 열 어닐 동작을 수행하는 동작 ― 상기 급속 열 어닐 동작은 60 초 이하인 어닐 시간 동안 지속되고, 상기 급속 열 어닐 동작은:
    상기 기판을 섭씨 600 도 내지 섭씨 1,000 도의 범위 내에 있는 어닐 온도까지 가열하는 것을 포함함 ―; 및
    상기 급속 열 어닐 동작을 수행한 이후 상기 기판을 에칭하는 동작을 포함하는, 기판을 프로세싱하기 위한 비일시적 컴퓨터 판독 가능 매체.
  12. 제11항에 있어서,
    상기 기판을 에칭하는 동작은 섭씨 0 도 이하인 에칭 온도에서 상기 기판에 대해 에칭 동작을 수행하는 것을 포함하는, 기판을 프로세싱하기 위한 비일시적 컴퓨터 판독 가능 매체.
  13. 제11항에 있어서,
    상기 하나 이상의 비정질 탄소 하드마스크 층들은 sp2 매트릭스 또는 sp3 매트릭스를 갖는, 기판을 프로세싱하기 위한 비일시적 컴퓨터 판독 가능 매체.
  14. 제11항에 있어서,
    상기 어닐 시간은 8 초 내지 12 초의 범위 내에 있고, 상기 어닐 온도는 섭씨 880 도 내지 섭씨 920 도의 범위 내에 있는, 기판을 프로세싱하기 위한 비일시적 컴퓨터 판독 가능 매체.
  15. 제14항에 있어서,
    상기 급속 열 어닐 동작은 상기 기판을 어닐 가스 조성물에 노출시키는 동작을 더 포함하고, 상기 어닐 가스 조성물은 아르곤, 질소, 헬륨 또는 수소 중 하나 이상을 포함하는, 기판을 프로세싱하기 위한 비일시적 컴퓨터 판독 가능 매체.
  16. 제15항에 있어서,
    상기 하나 이상의 비정질 탄소 하드마스크 층들은 섭씨 300 도 내지 섭씨 750 도의 범위 내에 있는 증착 온도에서 그리고 12 Torr 이하인 증착 압력에서 상기 기판 상에 증착되는, 기판을 프로세싱하기 위한 비일시적 컴퓨터 판독 가능 매체.
  17. 제16항에 있어서,
    상기 복수의 동작들은 상기 급속 열 어닐 동작 이후에 상기 기판을 패턴화하는 동작을 더 포함하는, 기판을 프로세싱하기 위한 비일시적 컴퓨터 판독 가능 매체.
  18. 제11항에 있어서,
    상기 급속 열 어닐 동작은 상기 하나 이상의 비정질 탄소 하드마스크 층들의 막 응력을 100 MPa 이하가 되도록 변경하는, 기판을 프로세싱하기 위한 비일시적 컴퓨터 판독 가능 매체.
  19. 기판을 프로세싱하기 위한 시스템으로서,
    증착 챔버;
    어닐 챔버;
    상기 증착 챔버 및 상기 어닐 챔버에 결합되는 이송 챔버;
    극저온 에칭 챔버(cryogenic etch chamber);
    명령들을 포함하는 컨트롤러를 포함하고, 상기 명령들은, 실행시:
    상기 증착 챔버가 하나 이상의 비정질 탄소 하드마스크 층들을 상기 기판 상에 증착하게 하고,
    상기 어닐 챔버가, 상기 하나 이상의 비정질 탄소 하드마스크 층들을 상기 기판 상에 증착한 이후, 상기 기판에 대해 급속 열 어닐 동작을 수행하게 하고 ― 상기 급속 열 어닐 동작은 60 초 이하인 어닐 시간 동안 지속되며, 상기 급속 열 어닐 동작은:
    상기 기판을 섭씨 600 도 내지 섭씨 1,000 도의 범위 내에 있는 어닐 온도까지 가열하는 동작을 포함함 ― ; 및
    상기 극저온 에칭 챔버가 상기 급속 열 어닐 동작이 수행된 이후 상기 기판을 에칭하게 하는, 기판을 프로세싱하기 위한 시스템.
  20. 제19항에 있어서,
    상기 증착 챔버, 상기 어닐 챔버 및 상기 이송 챔버는 클러스터 도구의 프레임에 장착되는, 기판을 프로세싱하기 위한 시스템.
KR1020237031431A 2021-02-18 2022-02-14 하나 이상의 비정질 탄소 하드마스크 층들을 사용하여 기판들을 프로세싱하기 위한 방법들, 시스템들, 및 장치 KR20230144636A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/179,103 2021-02-18
US17/179,103 US11694902B2 (en) 2021-02-18 2021-02-18 Methods, systems, and apparatus for processing substrates using one or more amorphous carbon hardmask layers
PCT/US2022/016255 WO2022177836A1 (en) 2021-02-18 2022-02-14 Methods, systems, and apparatus for processing substrates using one or more amorphous carbon hardmask layers

Publications (1)

Publication Number Publication Date
KR20230144636A true KR20230144636A (ko) 2023-10-16

Family

ID=82801438

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237031431A KR20230144636A (ko) 2021-02-18 2022-02-14 하나 이상의 비정질 탄소 하드마스크 층들을 사용하여 기판들을 프로세싱하기 위한 방법들, 시스템들, 및 장치

Country Status (6)

Country Link
US (2) US11694902B2 (ko)
JP (1) JP2024507833A (ko)
KR (1) KR20230144636A (ko)
CN (1) CN116941014A (ko)
TW (1) TW202240668A (ko)
WO (1) WO2022177836A1 (ko)

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6440878B1 (en) 2000-04-03 2002-08-27 Sharp Laboratories Of America, Inc. Method to enhance the adhesion of silicon nitride to low-k fluorinated amorphous carbon using a silicon carbide adhesion promoter layer
US6900002B1 (en) * 2002-11-19 2005-05-31 Advanced Micro Devices, Inc. Antireflective bi-layer hardmask including a densified amorphous carbon layer
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
KR100880326B1 (ko) 2006-09-29 2009-01-28 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20090016857A (ko) 2007-08-13 2009-02-18 주식회사 하이닉스반도체 반도체 소자 제조 방법
CN101971322A (zh) 2008-01-30 2011-02-09 东京毅力科创株式会社 非晶碳氢膜的后处理方法以及使用了该方法的电子器件的制造方法
US20140263173A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Methods for improving etching resistance for an amorphous carbon film
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
WO2015105651A1 (en) 2014-01-08 2015-07-16 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US9583358B2 (en) * 2014-05-30 2017-02-28 Samsung Electronics Co., Ltd. Hardmask composition and method of forming pattern by using the hardmask composition
US9741581B2 (en) * 2016-01-11 2017-08-22 Globalfoundries Inc. Using tensile mask to minimize buckling in substrate
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch

Also Published As

Publication number Publication date
JP2024507833A (ja) 2024-02-21
US20220262643A1 (en) 2022-08-18
US20230317463A1 (en) 2023-10-05
CN116941014A (zh) 2023-10-24
WO2022177836A1 (en) 2022-08-25
TW202240668A (zh) 2022-10-16
US11694902B2 (en) 2023-07-04

Similar Documents

Publication Publication Date Title
US8409399B2 (en) Reduced maintenance chemical oxide removal (COR) processing system
TWI574587B (zh) A plasma processing apparatus, and a plasma processing method
US7629033B2 (en) Plasma processing method for forming a silicon nitride film on a silicon oxide film
US7862683B2 (en) Chamber dry cleaning
JP4745958B2 (ja) 基板を熱処理する処理システムおよび方法
US20010050059A1 (en) Plasma processing apparatus with a dielectric plate having a thickness based on a wavelength of a microwave introduced into a process chamber through the dielectric plate
US20110204029A1 (en) Processing system and method for chemically treating a substrate
CN116544171A (zh) 具有气体孔中的孔径减小的插塞的高功率静电卡盘
US20050269030A1 (en) Processing system and method for treating a substrate
US20130319615A1 (en) Apparatus and method for treating substrates
JP2008502134A (ja) 基材を処理するためのプロセス加工システムを動作させる方法
KR102189785B1 (ko) 고온 가스 분배 어셈블리
US20230131233A1 (en) Rapid and precise temperature control for thermal etching
JP2007531306A (ja) 分圧を使用して化学的酸化物除去プロセスを調整するための方法およびシステム
CN111199918A (zh) 用于隔离结构的伸缩衬里层
CN106920760B (zh) 衬底处理装置及半导体器件的制造方法
US20230377958A1 (en) Cluster processing system for forming a metal containing material
JP3024940B2 (ja) 基板処理方法及びcvd処理方法
KR20220156911A (ko) 뱃치 열 프로세스 챔버에서 웨이퍼 에지 온도 보정
JP2019201086A (ja) 処理装置、部材及び温度制御方法
US11694902B2 (en) Methods, systems, and apparatus for processing substrates using one or more amorphous carbon hardmask layers
EP4078665A1 (en) Surface profiling and texturing of chamber components
CN111316415A (zh) 无等离子体脱卤的系统和方法
JP2005259902A (ja) 基板処理装置
US20230274949A1 (en) Etching of indium gallium zinc oxide