JP2022520191A - チャンバ部品を製造するための方法 - Google Patents

チャンバ部品を製造するための方法 Download PDF

Info

Publication number
JP2022520191A
JP2022520191A JP2021546686A JP2021546686A JP2022520191A JP 2022520191 A JP2022520191 A JP 2022520191A JP 2021546686 A JP2021546686 A JP 2021546686A JP 2021546686 A JP2021546686 A JP 2021546686A JP 2022520191 A JP2022520191 A JP 2022520191A
Authority
JP
Japan
Prior art keywords
coating layer
laser
yttrium
treated
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021546686A
Other languages
English (en)
Other versions
JP7268177B2 (ja
Inventor
ガング グラント ペン,
デーヴィッド ダブリュー. グローチェル,
ハン ワン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022520191A publication Critical patent/JP2022520191A/ja
Priority to JP2023068937A priority Critical patent/JP2023106380A/ja
Application granted granted Critical
Publication of JP7268177B2 publication Critical patent/JP7268177B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Abstract

本開示の一例は、所望の膜特性を有するイットリウム含有材料を含むコーティングを有するチャンバ部品を製造する方法を提供する。一例では、コーティング材料を製造する方法は、アルミニウム含有材料を含む基礎構造を提供することを含む。この方法は、基礎構造上にイットリウム含有材料を含むコーティング層を形成することをさらに含む。この方法は、コーティング層を熱処理して処理されたコーティング層を形成することも含む。【選択図】図3

Description

[0001]本開示の例は概して、プラズマ処理のための方法及び装置、より具体的には、強化された膜特性を有する構成部品を用いたプラズマ処理のための方法及び装置に関する。
[0002]マイクロエレクトロニクス又は集積回路デバイスの製造は、典型的には、半導体、誘電体及び導電性基板上で実施される数百の個別の工程を必要とする複雑な処理シーケンスを含む。これらの処理工程の例には、酸化、拡散、イオン注入、薄膜堆積、洗浄、エッチング、及びリソグラフィが含まれる。プラズマ処理は、薄膜堆積プロセス後のエッチング処理及び処理チャンバ洗浄プロセスに使用されることが多い。化学気相堆積では、適切な処理ガスに電圧を印加することにより反応種が生成され、続く化学反応は、基板上に薄膜の形成をもたらす。プラズマエッチングでは、以前に堆積された膜はプラズマ中で反応種に曝露され、多くの場合、前のリソグラフィ工程で形成されたパターン化されたマスク層を通して曝露される。反応種と堆積された膜との間の反応は、堆積された膜の除去又はエッチングをもたらす。
[0003]チャンバ部品又は処理キットが長期間プラズマ環境に曝露されるとき、プラズマ種との反応によってチャンバ表面の劣化が生じ得る。例えば、既存の処理キット又はチャンバ構成部品は、酸化アルミニウム、アルミニウム合金、酸窒化アルミニウム又は窒化アルミニウムなどのアルミニウム含有材料でできていることが多い。ハロゲン含有ガス、例えば、フッ素含有又は塩素含有ガスは、回路製造中にさまざまな材料層をエッチングするのに使用される。アルミニウム含有材料はフッ素種による攻撃を受けやすく、その結果、構成部品の表面にAlが形成されると考えられる。このようなエッチング副生成物は、処理中に粒子として剥がれ、処理中に基板上に汚染及び欠陥をもたらす場合がある。さらに、一部のアルミニウム含有部品は、おそらく機械加工中に発生する機械的応力と、温度サイクル及びプラズマへの周期的な曝露との結果として、破損しやすいようである。化学気相堆積プロセスでは、金属ハロゲン含有化合物は堆積の前駆体として使用されることが多い。これらの化学物質は分解してハロゲンガス種又は分子を生成し、チャンバ表面、特に望ましくないAl副生成物を形成するアルミニウム部品を強く腐食することが多い。チャンバ表面の清潔さは、堆積のパフォーマンスに影響を及ぼす可能性がある重要な要因の一つである。チャンバ表面の清潔さは、チャンバ表面の粗さにも依拠する。チャンバ構成部品の表面が粗いほど、堆積プロセス中に、より多くの粒子を生成する可能性が高いと考えられている。
[0004]よって、プラズマ用途のための信頼できる表面材料を有するチャンバ部品、及びそのような部品を製造するための改善されたプロセスが必要である。
[0005]本開示の一例は、所望の膜特性を有するイットリウム含有材料を含むコーティングを有するチャンバ部品を製造する方法を提供する。一例では、コーティング材料を製造する方法は、アルミニウム含有材料を含む基礎構造を提供することを含む。この方法は、基礎構造上にイットリウム含有材料を含むコーティング層を形成することをさらに含む。この方法は、コーティング層を熱処理して処理されたコーティング層を形成することも含む。
[0006]別の例では、コーティング材料を製造する方法は、アルミニウム含有材料を含む基礎構造を提供することを含む。コーティング層が形成される。コーティング層は、基礎構造上にイットリウム含有材料を含む。この方法は、コーティング層をレーザ処理して処理されたコーティング層を形成することをさらに含む。
[0007]さらに別の例では、チャンバ部品は、レーザ処理されたコーティング層を含む。レーザ処理されたコーティング層は、チャンバ部品上にイットリウム含有材料を含む。レーザ処理されたコーティング層は、4.0g/cm超の膜密度を有する。
[0008]本開示の上述の特徴を詳細に理解し得るように、上記で簡単に要約された本開示のより具体的な説明が、例を参照することによって得られ、一部の例は、添付の図面に例示されている。しかしながら、本開示は、他の同等に効果的な例も許容し得るため、添付の図面は、本開示の典型的な例のみを示しており、したがって、本開示の範囲を限定すると見なすべきではないことに留意されたい。
[0009]チャンバ部品上にコーティングを形成するのに利用され得る処理ツールである。 [0010]図1の処理ツールでできた少なくとも一つのチャンバ部品を有するプラズマ反応器の概略図である。 [0011]図1のツールを利用してチャンバ部品上にコーティングを製造するための方法である。 [0012]チャンバ部品上に形成されたコーティングの断面概略図である。 [0013]図3の方法によって製造された図2のプラズマエッチング反応器において使用されるチャンバ部品の底面図を示す。
[0014]理解を容易にするために、可能な場合には、図に共通する同一の要素を指し示すのに同一の参照番号を使用した。一例の要素及び特徴は、さらなる記述がなくても、他の例に有益に組み込まれ得ると考えられる。
[0015]しかしながら、本開示は、他の同等に効果的な例も許容し得るため、添付の図面は、本開示の例示的な例のみを示しており、したがって、本開示の範囲を限定すると見なすべきではないことに留意されたい。
[0016]本開示は、強化された化学又はプラズマ耐性などの改善された特徴を有するコーティングを備えたプラズマ処理チャンバ部品を製造するための方法及び装置を提供する。一例では、コーティング材料は、強化された膜特性を有するイットリウム含有材料を含み得る。コーティング材料の少なくとも一部が部品上に形成された後、部品上に配置されたコーティング層が高温処理プロセスに供されるときに、そのような強化された特徴が得られる。一例では、コーティングは、高温処理プロセス、例えばレーザ処理プロセス、又は他のエネルギー処理プロセスが後に続く部品上に形成され得る。
[0017]図1は、プラズマ処理チャンバで利用される部品などの、基板表面上に形成されたコーティング材料を処理するのに利用され得る処理ツール100を示す。処理ツール100は、基板上に形成されたコーティング材料にレーザエネルギーを向けるレーザエネルギー装置であり得る。あるいは、処理ツール100は、熱エネルギー、ビームエネルギー、光エネルギー、又は他の適切なエネルギーを提供して、基板上に配置されたコーティング材料の結合構造又は膜特性を変更し得る任意の適切なエネルギー提供装置であり得る。
[0018]処理ツール100は、レーザモジュール152、基板106などの基板を支持するよう構成されたステージ112、ステージ112の移動を制御するよう構成された平行移動機構124を有する筐体150を有する。アクチュエータシステム108もステージ112に連結して、ステージ112の制御及び移動を支援する。ここでの基板106は、後にプラズマ反応器中のチャンバ部品として利用される部品であることに留意されたい。
[0019]レーザモジュール152は、レーザ放射源101、少なくとも一つのレンズ102、及びステージ112上に配置された光集束モジュール104を含む。一例では、レーザ放射源101は、Nd:YAG、Nd:YVO、結晶ディスク、ダイオードポンプファイバー、並びに約187nmと約10,000nmの間、例えば約248nmと2,100nmの間の波長でパルス化された又は連続する放射波を提供及び放出できる他の光源からできた光源であり得る。別の例では、レーザ放射源101は、複数のレーザダイオードを含み、各レーザダイオードは、同じ波長で均一かつ空間的にコヒーレントな光を生じさせ得る。さらに別の例では、累積するレーザダイオードの電力は、約2ワットから200ワットの範囲である。
[0020]集束光モジュール104は、少なくとも一つのレンズ102を使用してレーザ放射源101によって放出された放射を、基板106上に配置されたコーティング材料(図示せず)に向けられた放射110のライン、スポット、又は他の適切なビーム構成に変換する。放射110は、コーティング材料の表面に選択的に適用され、コーティング材料の個別の所定の領域にレーザエネルギーの線量を提供する。一例では、放射110は、コーティング材料に存在する膜特性の所望の変化、例えば局所的応力又は膜密度が得られるまで、コーティング材料の表面に必要な回数選択的に適用され得る。別の構造では、レーザは、デジタルマイクロミラーデバイスで反射され、これは、その後、レーザパターンを基板に投影し(基板全体を処理するために拡大されるか、又は基板全体でスキャンされる小さなフィールドで)、必要に応じて処理線量マップが作成され得る。
[0021]レンズ102は、放射を一本のライン又はスポットに集束し得る、任意の適切なレンズ又は一連のレンズであり得る。一例では、レンズ102は、円筒状レンズである。代替的に、レンズ102は、1つ又は複数の凹レンズ、凸レンズ、平面鏡、凹面鏡、凸面鏡、屈折レンズ、回折レンズ、フレネルレンズ、屈折率分布レンズなどであってもよい。
[0022]検出器116は、ステージ112の上方でレーザモジュール152内に配置されている。一例では、検出器116は、光学検出器は、ステージ112上に位置付けされたコーティング材料及び/又は基板106の膜特性を検査及び検出するために、異なる波長を有する光源120を提供し得る光検出器であり得る。光源120は、基板106又は基板上に配置されたコーティング材料から反射されて、それは、時間内フィードバック制御のために、反射光ビーム122を形成して検出器116に戻す。一例では、検出器116及び光源120は、基板106上のコーティング材料に形成された個々のデバイスのダイパターン又は特徴を観察するために使用され得る光学顕微鏡(OM)の一部を形成し得る。別の例では、検出器116は、レーザエネルギープロセスを実施する前に、材料層及び/又は基板106上の局所的な厚さ、応力、屈折率(n&k)、表面粗さ、膜密度又は抵抗率を検出することができる計測ツール又はセンサであり得る。さらに別の例では、検出器116は、画像の色のコントラスト、画像の明るさのコントラスト、画像の比較などに基づいて、コーティング材料及び/又は基板106を分析するために、コーティング材料及び/又は基板106の画像を取り込むことができるカメラを含み得る。別の例では、検出器116は、基板又は基板上に堆積された膜層の、応力、表面粗さ、膜密度などの、異なる膜特性又は特徴を検出し得る任意の適切な検出器であり得る。
[0023]検出器116は、コーティング材料の線形領域全体で基板表面を直線的にスキャンし得る。検出器116は、基板106の座標、整列、又は配向を同定するのを支援し得る。基板106がX方向170に進むと、検出器116は基板106をスキャンし得る。同様に、平行移動機構124がステージ112に移動するように基板106がY方向180に移動すると、検出器116は基板106をスキャンし得る。検出器116は、検出器116又は他の検出器又は計算システムからレーザモジュール152への移動及びデータ転送を制御するために、コントローラ190に連結され得る。
[0024]コントローラ190は、検出器116及び/又はレーザモジュール152を制御して光検出プロセス及び/又はレーザエネルギー処理プロセスを実施するよう構成されている高速コンピュータであり得る。一例では、光検出プロセスは、レーザエネルギー処理プロセスより前に検出器116によって実施されるため、レーザエネルギープロセスを実施するためのレーザエネルギー処理方策にセットされたプロセスパラメータは、光検出プロセスから受信した測定データに基づく場合がある。一例では、コントローラ190は、データ計算システム(図示せず)にさらに連結されて、データ計算システムからデータ又は計算されたアルゴリズムを取得して、基板106上のコーティング材料に対してレーザエネルギー処理プロセスを実行するための適切な方策を決定するのを支援することができる。
[0025]一例では、平行移動機構124は、ステージ112及び放射110を互いに対して平行移動させるように構成され得る。平行移動機構124は、ステージ112を正及び負のX方向170並びに正及び負のY方向180に移動させるように構成され得る。一例では、ステージ112に連結された平行移動機構124は、ステージ112をレーザモジュール152及び/又は検出器116に対して移動させるよう適合される。別の例では、平行移動機構124は、レーザモジュール152及び/又は集束光モジュール及び/又は検出器116に連結されて、レーザ放射源101、集束光モジュール104、及び/又は検出器116を移動させて、ステージ112上に配置された基板106に対してエネルギービームを移動させる。さらに別の例では、平行移動機構124は、レーザ放射源101及び/又は集束光モジュール104、検出器116、及びステージ112を移動させる。コンベヤーシステム、ラックピニオンシステム、又はx/yアクチュエータ、複数のロボット、又は平行移動機構124に使用するための他の適切な機械的若しくは電気機械的機構などの任意の適切な平行移動機構が使用される。あるいは、ステージ112は、静止するように構成されてもよく、一方、複数のガルバノメトリックヘッド(図示せず)は、必要に応じて、レーザ放射源101から基板に放射を向けるために、基板エッジの周りに配置され得る。
[0026]平行移動機構124は、コントローラに連結されて、ステージ112及びレーザ放射源101が互いに対して移動するスキャンスピードを制御し得る。一例では、レーザ放射源101は、光放射源である。コントローラ190は、検出器116から又はデータ計算システムからデータを受信して、レーザモジュール152が最適化されたレーザ線量パターン化プロセスを実施するのを制御するのに使用される最適化されたレーザエネルギー方策を生成し得る。ステージ112及び放射110及び/又はレーザ放射源101は互いに対して移動するため、エネルギーは、コーティング材料の個別の所望の領域に送達される。一例では、平行移動機構124は一定のスピードで移動する。別の例では、ステージ112の平行移動及び放射110のラインの移動は、コントローラ190によって制御される異なる経路をたどる。
[0027]図2は、一部(例えば、チャンバ部品)がプロセス中に利用されるプラズマ堆積プロセス(例えば、プラズマCVD又は金属有機CVD)を実施するのに適した処理チャンバ232の断面図である。処理チャンバ232は、カリフォルニア州サンタクララのApplied Materials、Inc.から入手可能な適切に適合されたCENTURA(登録商標)、PRODUCER(登録商標)SE又はPRODUCER(登録商標)GT又はPRODUCER(登録商標)XP処理システムであり得る。他の製造業者によって製造されたものを含む他の処理システムは、本明細書に記載された例から恩恵を受け得ると考えられる。
[0028]処理チャンバ232はチャンバ本体251を含む。チャンバ本体251は、内部空間226を画定するリッド225、側壁201及び底壁222を含む。
[0029]支持ペデスタル250が、チャンバ本体251の内部空間226内に設けられる。ペデスタル250は、アルミニウム、セラミック、窒化アルミニウム、及び他の適切な材料から製造され得る。一例では、ペデスタル250は、ペデスタル250に熱的損傷を引き起こすことなく、プラズマプロセス環境のような高温環境での使用に適した材料である、窒化アルミニウムのようなセラミック材料によって製造される。ペデスタル250は、リフト機構(図示せず)を用いてチャンバ本体251の内部でY方向180に移動され得る。ペデスタル250は、シャフト260によって支持されている。シャフト260は、配線が通過する中空の中心を有する。配線は、ペデスタル250内に配置された電極に回路を連結する。
[0030]ペデスタル250は、ペデスタル250に支持される基板290の温度を制御するのに適した、埋め込み型ヒータ素子270を含み得る。一例では、ペデスタル250は、電源206からヒータ素子270に電流を印加することによって抵抗加熱されてもよい。一例では、ヒータ素子270は、ニッケル-鉄-クロム合金(例えば、INCOLOY(登録商標))シースチューブ内にカプセル化されたニッケル-クロムワイヤから作られてもよい。電源206から供給される電流は、ヒータ素子270によって生成された熱を制御することにより、膜堆積中に、基板290及びペデスタル250を、任意の好適な温度範囲における実質的に一定の温度に維持するよう、コントローラ210によって調節される。別の例では、ペデスタル250は、必要に応じて室温に維持されてもよい。さらに別の例では、ペデスタル250はまた、必要に応じて室温よりも低い範囲でペデスタル250を冷却するために、必要に応じてチラー(図示せず)を含み得る。供給される電流は、ペデスタル250の温度を約100℃から約700℃までの間で選択的に制御するように調整され得る。
[0031]従来的な様態でペデスタル250の温度をモニタするために、熱電対などの温度センサ272が支持ペデスタル250に埋め込まれ得る。測定された温度は、ヒータ素子270に供給される電力を制御して、基板を所望の温度に維持するために、コントローラ210によって使用される。
[0032]ペデスタル250は、それを通して配置されており、ペデスタル250から基板290を持ち上げ、従来の方法でロボット(図示せず)と基板290の交換を容易にするように構成されている、複数のリフトピン(図示せず)を含み得る。
[0033]ペデスタル250は、ペデスタル250上に基板290を保持するための少なくとも1つの電極292を含む。電極292は、チャック電源208によって駆動され、従来知られているように、基板290をペデスタル表面に保持する静電力を発生させる。代替的には、基板290は、クランプ、真空又は重力によってペデスタル250に保持されてもよい。
[0034]一例は、ペデスタル250は、2つのRFバイアス電源284,286として図2に示す、少なくとも1つのRFバイアス電源に連結された電極292が内部に埋め込まれたカソードとして構成される。しかし、図2に示す例は、2つのRFバイアス電源284,286を示す。RFバイアス電源284,286の数は、必要に応じて任意の数であり得ることに留意されたい。RFバイアス電源284,286は、ペデスタル250に配置された電極292と、処理チャンバ232のガス分配プレート242又はリッド225などの別の電極との間に連結される。RFバイアス電源284,286は、処理チャンバ232の処理領域内に配置されたガスから形成されたプラズマ放電を励起し、維持する。
図2に示す例では、デュアルRFバイアス電源284,286は、整合回路204を介してペデスタル250に配置された電極292に連結される。処理チャンバ232内に提供された混合ガスをイオン化し、それによって堆積又は他のプラズマ強化処理を実行するために必要なイオンエネルギーを提供するために、RFバイアス電源284、286によって生成された信号が、単一フィードを通じて、整合回路204を通ってペデスタル250に送達される。RFバイアス電源284,286は、概して、約50kHzから約200MHzの周波数及び約0ワットから約5000ワットの間の電力を有するRF信号を生成することができる。チャック電源208及び整合回路204は、上部電極254に連結されている。上部電極254は、ペデスタル250の頂面292に基板290を静電チャックするように構成されている。
[0036]真空ポンプ202は、チャンバ本体251の底壁222に形成されたポートに連結されている。真空ポンプ202は、チャンバ本体251内に所望のガス圧力を維持するために使用される。真空ポンプ202はまた、後処理ガス及びプロセスの副生成物をチャンバ本体251から排出する。
[0037]処理チャンバ232は、処理チャンバ232のリッド225を通して連結された一又は複数のガス送達通路244を含む。ガス送達通路244及び真空ポンプ202は、内部空間226内に層流を誘導して粒子汚染を最小にするために、処理チャンバ232の両端に位置付けられる。
ガス送達通路244は、遠隔プラズマ源(RPS)248を通してガスパネル293に連結され、混合ガスを内部空間226内に提供する。一例では、ガス送達通路244を介して供給される混合ガスは、ガス送達通路244の下方に配置されたガス分配プレート242を通ってさらに供給され得る。一例では、複数の開孔243を有するガス分配プレート242は、ペデスタル250の上方のチャンバ本体251のリッド225に結合される。ガス分配プレート242の開孔243は、プロセスガスをガスパネル293からチャンバ本体251内に導入するために利用される。開孔243は、異なるプロセス要件のための様々なプロセスガスの流れを容易にするために、異なるサイズ、数、分布、形状、設計、及び直径を有し得る。基板290の表面291上への材料の堆積をもたらすプロセスガスの熱分解を強化するために、ガス分配プレート242から出るプロセス混合ガスからプラズマが形成される。
[0039]ガス分配プレート242及びペデスタル250は、内部空間226に一対の離間した電極を形成し得る。一又は複数のRFソース247は、ガス分配プレート242とペデスタル250との間でのプラズマ発生を容易にするために、整合ネットワーク245を介してガス分配プレート242にバイアス電位を提供する。あるいは、RFソース247及び整合ネットワーク245は、ガス分配プレート242、ペデスタル250に連結されてもよく、又はガス分配プレート242とペデスタル250の両方に連結されてもよい。一例では、RFソース247及び整合ネットワーク245は、チャンバ本体251の外部に配置されたアンテナ(図示せず)に連結され得る。一例では、RFソース247は、約30kHzから約13.6MHzの周波数で約10ワットから約3000ワットまでを提供し得る。あるいは、RFソース247は、内部空間226内にプラズマの発生を助けるマイクロ波電力をガス分配プレート242に提供するマイクロ波発振器であってもよい。
[0040]ガスパネル293から供給され得るガスの例は、ケイ素含有ガス、フッ素連続ガス、酸素含有ガス、水素含有ガス、不活性ガス、及びキャリアガスを含み得る。反応性ガスの適切な例は、SiH、Si、SiF、SiHCl、Si10、Si12、TEOSなどのケイ素含有ガスを含む。適切なキャリアガスは、窒素(N)、アルゴン(Ar)、水素(H)、アルカン、アルケン、ヘリウム(He)、酸素(O)、オゾン(O)、水蒸気(HO)などを含む。
[0041]一例では、遠隔プラズマ源(RPS)248は、代替的には、ガスパネル293から内部空間226内に供給されるガスからプラズマを形成するのを助けるために、ガス送達通路244に連結され得る。遠隔プラズマ源248は、ガスパネル293によって提供される混合ガスから形成されたプラズマを処理チャンバ232に提供する。
[0042]コントローラ210は、中央処理装置(CPU)212、メモリ216、及びサポート回路214を含み、これらは、処理シーケンスを制御し、ガスパネル293からのガス流を調節するために利用される。CPU212は、産業用の設定で使用され得る任意の形態の汎用コンピュータプロセッサであり得る。ソフトウェアルーチンは、ランダムアクセスメモリ、読み出し専用メモリ、フロッピー、若しくはハードディスクドライブ、又は他の形態のデジタル記憶ストレージなどのメモリ216に記憶させることができる。サポート回路214は、従来ではCPU112に連結されており、キャッシュ、クロック回路、入力/出力システム、電源などを含み得る。コントローラ210と処理チャンバ232の様々な他の構成要素との間の双方向通信は、信号バス218と総称される多数の信号ケーブルを経由して処理される。その一部を図2に図解する。
[0043]ガス分配プレート242又はペデスタル250などの上記のチャンバ部品のすべては、以下に記載される方法によって製造されたコーティング材料を有して、表面保護及び化学/プラズマ耐性を強化し得ることに留意されたい。
[0044]図3は、処理チャンバ232の部品又はその一部などの基礎構造上にイットリウム含有材料(酸化イットリウム(Y)又はAl若しくはZrなどの金属ドーパントを含むY)を含むコーティング材料を製造するのに使用され得る方法300の一例を示す。イットリウム含有材料の適切な例には、酸化イットリウム又はフルオリンイットリウムオキシド、金属ドーパント(AlYOF又はZrYOF)を含むフルオリンイットリウムオキシドが含まれる。基礎構造はアルミニウム含有材料を含む。方法300は、図4Aに示される基礎構造402などの基礎構造をスプレーコーティングチャンバ(図示せず)に提供することにより、工程302で開始する。一例では、基礎構造402は、セラミック材料、金属誘電体材料、例えばAl、AlN、AlON、バルクイットリウム、適切な希土類含有材料等であり得る。一例では、基礎構造402は、コーティング構造がその上に形成されるのを可能にするAlでできている。
[0045]工程304では、図4Bに示す通り、基礎構造402の第1の表面403上にコーティング層404を形成するためにスプレーコーティング堆積プロセスが実施される。コーティング層404は、イットリウム含有材料(酸化イットリウム(Y)又はAl若しくはZrなどの金属ドーパントを含むY)を含む。液体スプレーコーティング、ゲルスプレーコーティング、プラズマスプレーコーティング又は他の適切な堆積コーティングチャンバなどの任意の適切なコーティングチャンバは、基礎構造402上にイットリアを含むコーティング層404をコーティングするのに利用されることに留意されたい。
[0046]一例では、粉末形態のイットリウム含有材料 (酸化イットリウム(Y)又はAl若しくはZrなどの金属ドーパントを含むY)は、出発材料として使用されてもよく、水、結合剤及びコーティング層404の製造プロセスを容易にするのに使用され得る適切な添加剤等の他の成分を添加することによってスラリが形成される。その後、スラリが基礎構造402の第1の表面403上にスプレーされて、コーティング層404が形成され得る。プラズマは、基礎構造402にわたって第1の表面403に均一にスラリをスプレーするのを支援するために生成され得、基礎構造402上のコーティング層404のコーティングを支援する。一例では、イットリア粉末は、約15μmと約0.1μmの間の平均粒子サイズを有し得る。より小さな粒子サイズを有するイットリア粉末は、必要に応じてより少ない基板粗さなど、コーティング層404の比較的滑らかな表面を提供するのを支援し得る。一例では、第1のコーティング層は、基礎構造402上のプラズマスプレーコーティングである。
[0047] 工程306では、コーティング層404が形成された後、高温処理(HTT)プロセスが実施され、図4Cに示す通り、コーティング層404の第2の表面405上に処理層406が形成される。高温処理(HTT)プロセスは、図1に示される処理ツール100で実施され得る。高温処理(HTT)プロセスは、コーティング層404の表面を処理して、基板表面の特性を変化させる。コーティング層404の結合構造及び膜特性は、工程304で処理されたとき、処理層406で低い欠陥密度を有するロバストな膜構造(例えば、処理層406)をもたらす。さらに、高温処理(HTT)プロセスは、コーティング層404の表面から汚染物質を除去するのを支援し、それによって良好なコンタクトインターフェースを提供し、且つコーティング層404上の粒子蓄積を回避し得る。さらに、高温処理(HTT)プロセスを実行して、コーティング層404の表面の形態及び/又は表面粗さを変更し、必要に応じて、その上に形成されるその後に堆積された層の接着を改善するために、コーティング層404と比較して比較的滑らかな表面を有する処理層406を形成することもできる。いくつかの例では、高温処理(HTT)プロセスは、コーティング層404の結合エネルギー及び結合構造を改善するために、コーティング層404からの不飽和結合、緩い結合又はダングリングボンドと反応するために、必要に応じて、酸素又は窒素などの特定の要素を組み込む場合も組み込まない場合もある。
[0048]一例では、高温処理(HTT)プロセスは、図1に示される処理ツール100などの処理チャンバ中の周囲ガスを用いて又は用いずに工程306で実施され得る。
[0049]一例では、高温処理(HTT)プロセスは、高温処理(HTT)プロセスの要件によって同定される特定の位置要件に従って、一連のレーザパルスをコーティング層の個別の領域に適用することによって実施される。レーザパルスのバーストは、193nm超、例えば約248nmと約10,000nmの間、例えば約1,100nmの波長のレーザを有し得る。各パルスは、処理されるコーティング層404の所定の領域に集束される。
[0050]一例では、レーザパルスのスポットサイズは、約10μmと約1000μmの間で制御される。レーザパルスのスポットサイズは、所望の寸法、特徴、パターン及び形状寸法を有する膜層の特定の位置で膜特性を変化させるように構成され得る。
[0051]レーザパルスは、約1kHzと約20MHzの間の周波数で1平方センチメートルあたり約1マイクロジュール(μJ/cm)と1平方センチメートルあたり約2マイクロジュール(μJ/cm)の間のエネルギー密度(例えばフルエンス)を有し得る。各レーザパルスの長さは、約10μ秒から10フェムト秒までの持続時間を有するように構成されている。レーザ処理プロセス中、基礎構造温度は、摂氏約15度と摂氏約75度の間で維持され得る。
[0052]レーザパルスは、コーティング層404をアニーリングあるいは熱処理することなく膜層の局所的応力を変化させて、所望の表面粗さを有する処理層406を形成する。同じ基板位置に単一のレーザパルスが使用されてもよく、又は複数のレーザの線量が適用されてもよい。第1の基板位置がレーザ処理された後、第2の基板位置がその後、レーザパルス(又は基板)を位置決めしてパルスを第2の位置へ向けることによって処理される。高温処理(HTT)プロセスの要件は、所定の期間に到達するまで継続される。
[0053]いくつかの例では、高温処理(HTT)プロセスを実施している間、周囲ガスは処理ツール100の筐体150に供給され得るため、周囲ガスの要素のうちの一部は、必要に応じて、処理され得るか又は処理層406中に取り込まれ得る。一例では、周囲ガスは、O、NO、NO、H、HO若しくはOなどの酸素含有ガス、NO、NH、NO、Nなどの窒素含有ガス、又はAr及びHeなどの不活性ガスであり得る。
[0054]いくつかの例では、筐体150中に供給される周囲ガスの量は、取り込まれる要素の深さ/厚さに適応させて、処理層406を形成するために変化及び/又は調整され得る。
[0055]レーザエネルギー処理プロセスは、膜層中の面内歪みを局所的に変化させるように、コーティング層404の個別の領域における局所的な残留応力を変更、放出、又は排除し得る。そうすることにより、高温処理プロセス中のコーティング層404の局部応力の変化は、結果として生じる処理層406に比較的平面の表面を提供して、処理層406の表面粗さを減少させることもできる。
[0056]工程306での処理プロセスの後、工程308では、その上に形成されたコーティング材料450(コーティング層404から変換された結果として生じる処理層406)を有する基礎構造が、所望の膜特性で形成される。一例では、コーティング材料450は、Ra 5マイクロメートル超の表面粗さを有し得る。コーティング材料450の密度は、4.0g/cm超、例えば、約4.0g/cmと5.2g/cmの間であり得る。ある例では、コーティング材料450のイットリウム含有材料はイットリアを含む。コーティング材料450のイットリアは、約1:1と2:1の間のイットリウム対酸化物(Y:O)の比を有し得る。コーティング材料450は、約0.5μmと約50μmの間の厚さを有する。コーティング材料450は、2%未満のポア密度を有する。
[0057]基礎構造402上に形成されたコーティング材料450は比較的ロバストな構造を有するため、図2の処理チャンバ232などの処理チャンバ中のチャンバ部品上にこのようなコーティング材料450が堆積される際、コーティング材料450は、プラズマプロセス中に攻撃的なプラズマ種の攻撃を受けている間に良好な表面条件を維持し得る。よって、処理チャンバ232のチャンバ壁、基板支持体、ガス分配プレート又は他のチャンバ部品から粒子又は汚染物質を生成する可能性は減少される。
[0058]図5は、本開示の例に従って、その上に形成されるコーティング材料450で製造することができるガス分配プレート242の底面概略図を示す。イットリアでコーティングされたガス分配プレート242は、処理チャンバ232、又は、とりわけエッチング又は堆積の用途のためのものなどの他のプラズマチャンバで使用することができる。ガス分配プレート242には、複数の開孔243が設けられて、処理ガス及び/又はプラズマ種が処理チャンバ232の処理領域中に流れることを可能にする。開孔243は、ガス分配プレート242上に通常のパターンで配置されてもよく、又は、異なるガス分布の必要性を満たすために異なるパターンで配置されてもよい。図5に示す例では、コーティング材料450は、ガス分配プレート242(図2に示すガス分配プレート242と同じ)の底面上に形成される。コーティング材料450は、ガス分配プレート242の表面上にコーティングされ、それはプラズマプロセス中に攻撃的なプラズマ種による攻撃からガス分配プレート242を支援することができ、それによって処理チャンバ232内に位置決めされた基板290上に位置する粒子又は汚染物質を生成する可能性を減少することができる。よって、生成物の収率及び基板290の清潔さが、強化及び維持され得る。
[0059]本開示の例は、さまざまな用途のためのチャンバ部品上にイットリアを含むコーティング材料を製造するのに使用することができる。これらの強化された表面コーティングチャンバ部品は、プラズマプロセスで遭遇するような腐食性環境での使用に適している。さまざまなプラズマ堆積及びエッチングチャンバ、例えば、Enabler(登録商標)エッチングチャンバなどの誘電体エッチングチャンバ(CENTURA(登録商標)システムなどの半導体ウエハ処理システムの一部であり得る)、PRODUCER(登録商標)又はENDURA(登録商標)堆積チャンバなどの誘電体堆積チャンバ(半導体ウエハ処理システムの一部であり得る)、eMaxエッチングチャンバ、Producerエッチングチャンバ、並びにとりわけAdvantEdge Metal及びDPS Metalチャンバなどの導体エッチングチャンバは、本明細書で開示される教示から利益を受けることができ、これらすべては、カリフォルニア州サンタクララのApplied Materials、Inc.から入手可能である。他の製造業者からのものを含む他のプラズマ反応器が、本開示から利益を得るように適合され得ると想定される。
[0060]以上の記述は、本開示の例を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の例及び更なる例が考案されてよく、本開示の範囲は、下記の特許請求の範囲によって決定される。

Claims (15)

  1. コーティング材料を製造する方法であって、
    アルミニウム含有材料を含む基礎構造を用意することと、
    前記基礎構造上にイットリウム含有材料を含むコーティング層を形成することと、
    前記コーティング層を熱処理して処理されたコーティング層を形成することと、
    を含む、方法。
  2. 前記コーティング層を熱処理することが、
    前記コーティング層にレーザ処理プロセスを実施することであって、前記レーザ処理を実施することが、前記コーティング層の表面にレーザモジュールからの光放射を向けることをさらに含む、レーザ処理プロセスを実施すること
    をさらに含む、請求項1に記載の方法。
  3. 前記レーザモジュールが、約187nmと約10000nmの間の波長を有する前記光放射を提供する、請求項2に記載の方法。
  4. 前記コーティング層を熱処理することが、基礎構造温度を摂氏約15度と摂氏約75度の間で維持することをさらに含む、請求項1に記載の方法。
  5. 前記イットリウム含有材料が酸化イットリウムである、請求項1に記載の方法。
  6. 前記処理されたコーティング層が4g/cm超の膜密度を有する、請求項1に記載の方法。
  7. 前記処理されたコーティング層が、約0.5μmと約50μmの間の厚さを有する、請求項1に記載の方法。
  8. 前記処理されたコーティング層が、Ra 5マイクロメートル超の表面粗さを有する、請求項1に記載の方法。
  9. 前記基礎構造からの前記アルミニウム含有材料が、Al、AlN、又はセラミック材料である、請求項1に記載の方法。
  10. 前記コーティング層を熱処理することが、前記基礎構造から表面粒子を除去することをさらに含む、請求項1に記載の方法。
  11. コーティング材料を製造する方法であって、
    アルミニウム含有材料を含む基礎構造を用意することと、
    前記基礎構造上にイットリウム含有材料を含むコーティング層を形成することと、
    前記コーティング層をレーザ処理して処理されたコーティング層を形成することと、
    を含む、方法。
  12. イットリウム含有材料が、酸化イットリウム、フルオリンイットリウムオキシド、金属ドーパントを含むフルオリンイットリウムオキシドのうちの少なくとも一つである、請求項1又は11に記載の方法。
  13. 前記基礎構造が、プラズマ処理チャンバ内に配置された、ガス分配プレート、基板支持アセンブリ、又はチャンバ部品である、請求項1又は11に記載の方法。
  14. チャンバ部品上にイットリウム含有材料を含むレーザ処理されたコーティング層を含むチャンバ部品であって、前記レーザ処理されたコーティング層が、4.0g/cm超の膜密度を有する、チャンバ部品。
  15. 前記レーザ処理されたコーティング層が、2%未満のポア密度を有する、請求項14に記載のチャンバ部品。
JP2021546686A 2019-02-12 2020-01-17 チャンバ部品を製造するための方法 Active JP7268177B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023068937A JP2023106380A (ja) 2019-02-12 2023-04-20 チャンバ部品を製造するための方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962804545P 2019-02-12 2019-02-12
US62/804,545 2019-02-12
PCT/US2020/014103 WO2020167414A1 (en) 2019-02-12 2020-01-17 Method for fabricating chamber parts

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023068937A Division JP2023106380A (ja) 2019-02-12 2023-04-20 チャンバ部品を製造するための方法

Publications (2)

Publication Number Publication Date
JP2022520191A true JP2022520191A (ja) 2022-03-29
JP7268177B2 JP7268177B2 (ja) 2023-05-02

Family

ID=71945911

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021546686A Active JP7268177B2 (ja) 2019-02-12 2020-01-17 チャンバ部品を製造するための方法
JP2023068937A Pending JP2023106380A (ja) 2019-02-12 2023-04-20 チャンバ部品を製造するための方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023068937A Pending JP2023106380A (ja) 2019-02-12 2023-04-20 チャンバ部品を製造するための方法

Country Status (6)

Country Link
US (2) US11519071B2 (ja)
JP (2) JP7268177B2 (ja)
KR (1) KR102616691B1 (ja)
CN (1) CN113383405A (ja)
TW (1) TW202043527A (ja)
WO (1) WO2020167414A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240117489A1 (en) * 2022-10-06 2024-04-11 Applied Materials, Inc. Halogen-resistant thermal barrier coating for processing chambers

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007247042A (ja) * 2006-03-20 2007-09-27 Tokyo Electron Ltd 半導体加工装置用セラミック被覆部材
US20070241095A1 (en) * 2006-04-13 2007-10-18 Shin-Etsu Chemical Co., Ltd. Heating element
JP2008106363A (ja) * 2006-10-23 2008-05-08 Applied Materials Inc 耐プラズマ層の低温エアロゾル堆積
JP2010229492A (ja) * 2009-03-27 2010-10-14 Tocalo Co Ltd 白色酸化イットリウム溶射皮膜表面の改質方法および酸化イットリウム溶射皮膜被覆部材
JP2012036487A (ja) * 2010-08-11 2012-02-23 Toshiba Corp イットリア含有膜とその形成方法、並びに半導体製造装置およびプラズマ処理装置
JP2016539250A (ja) * 2013-09-18 2016-12-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ火炎熱処理を用いたプラズマ溶射コーティングの強化
US20190019670A1 (en) * 2017-07-13 2019-01-17 Asm Ip Holding B.V. Apparatus and method for removal of oxide and carbon from semiconductor films in a single processing chamber
US20190291214A1 (en) * 2018-03-22 2019-09-26 Applied Materials, Inc. Laser polishing ceramic surfaces of processing components to be used in the manufacturing of semiconductor devices

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5477975A (en) 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5473138A (en) * 1993-07-13 1995-12-05 Singh; Rajiv K. Method for increasing the surface area of ceramics, metals and composites
US7048607B1 (en) 2000-05-31 2006-05-23 Applied Materials System and method for chemical mechanical planarization
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP2006186306A (ja) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
US7648782B2 (en) * 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
DE102006036151A1 (de) * 2006-07-31 2008-02-14 Gehring Gmbh & Co. Kg Verfahren zur Oberflächenbearbeitung eines Werkstückes mit einer tribologisch beanspruchbaren Fläche
US9017765B2 (en) * 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
DE102010020994B4 (de) * 2010-01-27 2022-01-27 Interpane Entwicklungs-Und Beratungsgesellschaft Mbh Verfahren zur Herstellung eines beschichteten Gegenstands mit Texturätzen
US9556507B2 (en) * 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
EP3718625A1 (en) * 2013-05-21 2020-10-07 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk Onderzoek TNO Chemical conversion process
US9790582B2 (en) * 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
KR101895769B1 (ko) * 2015-08-17 2018-09-07 이종수 반도체 제조용 챔버의 코팅막 및 그 제조 방법
TWI721216B (zh) * 2016-10-13 2021-03-11 美商應用材料股份有限公司 用於電漿處理裝置中的腔室部件、包含其之裝置及製造其之方法
KR102515494B1 (ko) 2018-04-17 2023-03-29 어플라이드 머티어리얼스, 인코포레이티드 비드 블라스팅을 이용하지 않는 표면의 텍스처라이징
US20190341276A1 (en) 2018-05-03 2019-11-07 Applied Materials, Inc. Integrated semiconductor part cleaning system
US11776822B2 (en) 2018-05-29 2023-10-03 Applied Materials, Inc. Wet cleaning of electrostatic chuck

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007247042A (ja) * 2006-03-20 2007-09-27 Tokyo Electron Ltd 半導体加工装置用セラミック被覆部材
US20070241095A1 (en) * 2006-04-13 2007-10-18 Shin-Etsu Chemical Co., Ltd. Heating element
JP2008106363A (ja) * 2006-10-23 2008-05-08 Applied Materials Inc 耐プラズマ層の低温エアロゾル堆積
JP2010229492A (ja) * 2009-03-27 2010-10-14 Tocalo Co Ltd 白色酸化イットリウム溶射皮膜表面の改質方法および酸化イットリウム溶射皮膜被覆部材
JP2012036487A (ja) * 2010-08-11 2012-02-23 Toshiba Corp イットリア含有膜とその形成方法、並びに半導体製造装置およびプラズマ処理装置
JP2016539250A (ja) * 2013-09-18 2016-12-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ火炎熱処理を用いたプラズマ溶射コーティングの強化
US20190019670A1 (en) * 2017-07-13 2019-01-17 Asm Ip Holding B.V. Apparatus and method for removal of oxide and carbon from semiconductor films in a single processing chamber
US20190291214A1 (en) * 2018-03-22 2019-09-26 Applied Materials, Inc. Laser polishing ceramic surfaces of processing components to be used in the manufacturing of semiconductor devices

Also Published As

Publication number Publication date
JP2023106380A (ja) 2023-08-01
KR20210115058A (ko) 2021-09-24
US20230047031A1 (en) 2023-02-16
WO2020167414A1 (en) 2020-08-20
TW202043527A (zh) 2020-12-01
US11519071B2 (en) 2022-12-06
JP7268177B2 (ja) 2023-05-02
KR102616691B1 (ko) 2023-12-20
US20200255946A1 (en) 2020-08-13
CN113383405A (zh) 2021-09-10

Similar Documents

Publication Publication Date Title
JP6737899B2 (ja) プラズマ処理チャンバでのインシトゥチャンバ洗浄効率向上のためのプラズマ処理プロセス
KR102402866B1 (ko) 고 종횡비의 구조체들의 콘택 세정
EP1965419B1 (en) Absorber layer candidates and techniques for application
JP2007247061A (ja) スパッタリング前のスパッタリングターゲットの前調整
JP7023188B2 (ja) クリーニング方法
KR100967458B1 (ko) 에칭 방법 및 에칭 장치
TW201534410A (zh) 使用低射頻偏壓頻率應用來清潔非晶碳沉積殘留物之清潔製程
JP2022506918A (ja) ハロゲン系化合物を用いて選択的にエッチングするための原子層エッチングシステム
TWI342048B (en) Scanned rapid thermal processing with feed forward control
JP6134274B2 (ja) 半導体製造装置および半導体装置の製造方法
JP2023106380A (ja) チャンバ部品を製造するための方法
KR102152088B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US20080296258A1 (en) Plenum reactor system
US7270724B2 (en) Scanning plasma reactor
US20230167543A1 (en) Method for fabricating chamber parts
CN117941493A (zh) 用于加工硫族化物的技术和装置
JP2024507833A (ja) 1又は複数のアモルファスカーボンハードマスク層を用いた基板処理の方法、システム、及び装置
JP2023515065A (ja) コア除去
TW202118574A (zh) 修理腔室部件的凹口的方法
TW202247248A (zh) 原子層蝕刻中的蝕刻選擇性控制
KR20090073272A (ko) 레이저 빔이 결합된 용량 결합 전극을 이용한 기판 처리방법
JP2004014794A (ja) 基板処理装置、及び半導体装置の製造方法
JPS63228718A (ja) 光化学気相成長装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211019

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211019

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230306

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230322

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230420

R150 Certificate of patent or registration of utility model

Ref document number: 7268177

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150