JP2016539250A - プラズマ火炎熱処理を用いたプラズマ溶射コーティングの強化 - Google Patents

プラズマ火炎熱処理を用いたプラズマ溶射コーティングの強化 Download PDF

Info

Publication number
JP2016539250A
JP2016539250A JP2016543976A JP2016543976A JP2016539250A JP 2016539250 A JP2016539250 A JP 2016539250A JP 2016543976 A JP2016543976 A JP 2016543976A JP 2016543976 A JP2016543976 A JP 2016543976A JP 2016539250 A JP2016539250 A JP 2016539250A
Authority
JP
Japan
Prior art keywords
plasma
ceramic coating
resistant ceramic
article
heat treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016543976A
Other languages
English (en)
Other versions
JP6749238B2 (ja
Inventor
ジェニファー ワイ サン
ジェニファー ワイ サン
イーカイ チェン
イーカイ チェン
ビラジャ ピー カヌンゴ
ビラジャ ピー カヌンゴ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016539250A publication Critical patent/JP2016539250A/ja
Application granted granted Critical
Publication of JP6749238B2 publication Critical patent/JP6749238B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/18After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249981Plural void-containing components

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Drying Of Semiconductors (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Ceramic Products (AREA)

Abstract

物品上に耐プラズマ性セラミックスコーティングを形成するための方法は、チャンバ又はプラズマ溶射システムの溶射セル内に物品を配置する工程を含む。その後、セラミックス粉末がある粉末供給速度でプラズマ溶射システム内に供給され、耐プラズマ性セラミックスコーティングが、プラズマ溶射システムによってプラズマ溶射プロセス内で物品の少なくとも1つの表面上に堆積される。その後、プラズマ溶射システムは、耐プラズマ性セラミックスコーティング上にクラストを形成するために耐プラズマ性セラミックスコーティングのインサイチュープラズマ火炎熱処理を実行するために使用される。

Description

本開示の実施形態は、概して、セラミックスコーティングされた物品、及びチャンバコンポーネント上へセラミックスコーティングをプラズマ溶射するための方法に関する。
背景
半導体産業では、ますます減少するサイズの構造を作る多くの製造プロセスによって、デバイスは製造される。いくつかの製造プロセス(例えば、プラズマエッチングプロセスやプラズマ洗浄プロセス)は、基板をエッチング又は洗浄するためにプラズマの高速流に基板を曝露させる。プラズマは非常に腐食性があり、プラズマに曝露される処理チャンバ及び他の表面を腐食する可能性がある。この腐食は、デバイスの欠陥に寄与する、処理されている基板をしばしば汚染する粒子を生成する場合がある。
デバイスの幾何学形状が縮小するにつれて、欠陥への感受性は増加し、粒子汚染物質の要件はより厳しくなる。したがって、デバイスの幾何学形状が縮小するにつれて、粒子汚染の許容レベルは低下する可能性がある。プラズマエッチングプロセス及び/又はプラズマ洗浄プロセスによって導入される粒子汚染を最小限にするために、プラズマに耐性のあるチャンバ材料が開発されている。異なる材料は、異なる材料特性(例えば、耐プラズマ性、剛性、曲げ強度、耐熱衝撃性など)を提供する。また、異なる材料は、異なる材料コストを有する。したがって、いくつかの材料は、優れた耐プラズマ性を有し、他の材料は、より低いコストを有し、更に他の材料は、優れた曲げ強度及び/又は耐熱衝撃性を有する。
本発明は、添付図面の図の中で、限定としてではなく、例として示され、同様の参照符号は同様の要素を示す。この開示における「一」又は「1つの」実施形態への異なる参照は、必ずしも同じ実施形態への参照ではなく、そのような参照は、少なくとも1つを意味することに留意すべきである。
処理チャンバの一実施形態の断面図を示す。 本発明の一実施形態に係る製造システムの例示的なアーキテクチャを示す。 プラズマ溶射堆積システムの概略図を示す。 チャンバコンポーネント上にプラズマ溶射セラミックスコーティングを形成するためのプロセスの一実施形態を示す。 プラズマ火炎熱処理プロセスによって形成されたクラストを有するプラズマ溶射保護層によって覆われた物品の断面側面図を示す。
実施形態の詳細な説明
本開示の実施形態は、セラミックスコーティングで物品をコーティングするためのプロセス、及びセラミックスコーティング上でプラズマ火炎熱処理を実行することに向けられている。本明細書に開示されたプロセスは、減少した処理時間と、従来の耐プラズマ性コーティングよりも低コストを有するチャンバコンポーネントのための改良された耐プラズマ性の性能を提供する。
一実施形態では、物品は、プラズマ溶射システムを参照して配置される。セラミックス粉末が、ある粉末供給速度でプラズマ溶射システム内に供給され、プラズマ溶射システムは、プラズマ溶射プロセス内で物品の少なくとも1つの表面上に耐プラズマ性セラミックスコーティングを堆積する。プラズマ溶射システムは、その後、耐プラズマ性セラミックスコーティングのインサイチュープラズマ火炎熱処理を実行し、これによって耐プラズマ性セラミックスコーティング上にクラストを形成する。いくつかの例では、プラズマ火炎熱処理は、レーザー溶融、放電プラズマ焼結、及び炉加熱処理よりも優れている。これらの他の熱処理のそれぞれは、耐プラズマ性セラミックスコーティングを堆積するために使用されるプラズマ溶射システム以外の装置によって実行される。したがって、リードタイムは、これらの他の熱処理プロセスのために増加される。また、他の熱処理プロセスのための装置への物品の輸送は、汚染の危険性を増大させる。また、レーザー溶融は、セラミックスコーティング内に垂直方向及び水平方向の亀裂を生成する可能性がある。放電プラズマ焼結は、小さいサンプルサイズへの適用に限定されている。炉加熱処理は、多くの種類の基板に対して(例えば、いくつかの金属基板、静電チャックなどに対して)適用できない。
プラズマ火炎熱処理を使用してプラズマ溶射セラミックスコーティングを熱処理することによって、コーティングの表面はリフローされ、これによって多孔性及び亀裂が減少した表面にクラストを形成する。物品の熱処理されたセラミックスコーティングは、プラズマエッチングに対して高耐性であることができ、物品は、優れた機械的特性(例えば、高い曲げ強度及び高い硬度)を有することができる。コーティングされたセラミックス物品の性能特性は、高い熱性能、長寿命、低いウェハ上の粒子及び金属汚染を含むことができる。
用語「約」及び「およそ」が本明細書で使用される場合、これらは、提示された公称値が±30%以内で正確であることを意味することを意図している。本明細書に記載される物品は、プラズマに曝露される構造体(例えば、プラズマエッチング装置(プラズマエッチングリアクタとしても知られている)用のチャンバコンポーネント)とすることができる。例えば、物品は、プラズマエッチング装置、プラズマ洗浄装置、プラズマ推進システムなどの、壁、ベース、ガス分配板、シャワーヘッド、基板保持フレーム、静電チャック、リング、蓋、ノズル、フェイスプレート、選択変調装置(SMD)等とすることができる。
更に、プラズマリッチのプロセス用のプロセスチャンバ内で使用される場合、低減された粒子汚染を引き起こすことができるセラミックスコーティングされたチャンバコンポーネント及び他の物品を参照して、実施形態が本明細書に記載される。しかしながら、本明細書で説明されるセラミックスコーティングされた物品は、他のプロセス(例えば、非プラズマエッチング装置、非プラズマ洗浄装置、化学蒸着(CVD)チャンバ、物理蒸着(PVD)チャンバなど)用の処理チャンバ内で使用される場合もまた、低減された粒子汚染を提供することができることが理解されるべきである。更に、いくつかの実施形態は、特定の耐プラズマ性セラミックスを参照して説明される。しかしながら、実施形態は、本明細書で説明した以外の耐プラズマ性セラミックスにも等しく適用されることが理解されるべきである。
図1は、本発明の実施形態に係るセラミックスコーティングでコーティングされた1以上のチャンバコンポーネントを有する処理チャンバ100(例えば、半導体処理チャンバ)の断面図である。実施形態内で記載されるセラミックスコーティングは、プラズマ溶射システムのトーチによってプラズマ火炎熱処理を使用して熱処理されたプラズマ溶射コーティングである。処理チャンバ100は、内部に腐食性のプラズマ環境が提供されるプロセスのために使用することができる。例えば、処理チャンバ100は、プラズマエッチングリアクタ(プラズマエッチング装置としても知られる)、プラズマ洗浄機などのためのチャンバとすることができる。耐プラズマ性セラミックスコーティングを含むことができるチャンバコンポーネントの例は、基板支持アセンブリ148、静電チャック(ESC)150、リング(例えば、プロセスキットリング又は単一リング)、チャンバ壁、ベース、ガス分配プレート、シャワーヘッド、ライナー、ライナーキット、シールド、プラズマスクリーン、フローイコライザ、冷却ベース、チャンバビューポート、チャンバ蓋、ノズル、プロセスキットリング、フェイスプレート、SMDなどを含む。
以下でより詳細に説明される耐プラズマ性セラミックスコーティングは、大気圧プラズマ溶射(APPS)プロセスによって堆積された希土類酸化物コーティングである。耐プラズマ性セラミックスコーティングは、一実施形態に係るプラズマ火炎熱処理プロセスによって形成されたクラスト(表皮)を有することができる。耐プラズマ性コーティングは、Y及びY系希セラミックス、YAl12(YAG)、Al(アルミナ)、YAl(YAM)、SiC(炭化ケイ素)、Si(窒化ケイ素)、SiN(窒化ケイ素)、AlN(窒化アルミニウム)、TiO(チタニア)、ZrO(ジルコニア)、TiC(炭化チタン)、ZrC(炭化ジルコニウム)、TiN(窒化チタン)、Y安定化ZrO(YSZ)、Er及びEr系セラミックス、Gd及びGd系セラミックス、ErAl12(EAG)、GdAl12(GAG)、Nd及びNd系セラミックス、及び/又は、YAlとY−ZrOの固溶体とを含むセラミックス化合物を含む。
耐プラズマ性コーティングは、前述のセラミックスのうちのいずれかによって形成された固溶体に基づくこともできる。YAlとY−ZrOの固溶体とを含むセラミックス化合物を参照すると、一実施形態では、セラミックス化合物は、62.93モル比(モル%)のYと、23.23モル%のZrOと、13.94モル%のAlを含む。別の一実施形態では、セラミックス化合物は、50〜75モル%の範囲内のYと、10〜30モル%の範囲内のZrOと、10〜30モル%の範囲内のAlを含むことができる。別の一実施形態では、セラミックス化合物は、40〜100モル%の範囲内のYと、0〜60モル%の範囲内のZrOと、0〜10モル%の範囲内のAlを含むことができる。別の一実施形態では、セラミックス化合物は、40〜60モル%の範囲内のYと、30〜50モル%の範囲内のZrOと、10〜20モル%の範囲内のAlを含むことができる。別の一実施形態では、セラミックス化合物は、40〜50モル%の範囲内のYと、20〜40モル%の範囲内のZrOと、20〜40モル%の範囲内のAlを含むことができる。別の一実施形態では、セラミックス化合物は、70〜90モル%の範囲内のYと、0〜20モル%の範囲内のZrOと、10〜20モル%の範囲内のAlを含むことができる。別の一実施形態では、セラミックス化合物は、60〜80モル%の範囲内のYと、0〜10モル%の範囲内のZrOと、20〜40モル%の範囲内のAlを含むことができる。別の一実施形態では、セラミックス化合物は、40〜60モル%の範囲内のYと、0〜20モル%の範囲内のZrOと、30〜40モル%の範囲内のAlを含むことができる。他の実施形態では、他の配分もまた、セラミックス化合物のために使用することができる。
一実施形態では、Y、ZrO、Er、Gd、及びSiOの組み合わせを含む代替セラミックス化合物が、保護層用に使用される。一実施形態では、代替セラミックス化合物は、40〜45モル%の範囲内のYと、0〜10モル%の範囲内のZrOと、35〜40モル%の範囲内のErと、5〜10モル%の範囲内のGdと、5〜15モル%の範囲内のSiOを含むことができる。第1実施例では、代替セラミックス化合物は、40モル%のY、5モル%のZrO、35モル%のEr、5モル%Gd、及び15モル%のSiOを含む。第2実施例では、代替セラミックス化合物は、45モル%のY、5モル%のZrO、35モル%のEr、10モル%Gd、及び5モル%のSiOを含む。第3実施例では、代替セラミックス化合物は、40モル%のY、5モル%のZrO、40モル%のEr、7モル%Gd、及び8モル%のSiOを含む。
前述の耐プラズマ性セラミックスコーティングのいずれも、微量の他の材料(例えば、ZrO、Al、SiO、B、Er、Nd、Nb、CeO、Sm、Yb、又は他の酸化物)を含んでもよい。セラミックスコーティングは、セラミックスコーティングの耐プラズマ性及び低減されたウェハ上の又は基板の汚染に起因して、より長い作業寿命を可能にする。有利には、いくつかの実施形態では、セラミックスコーティングは、コーティングされる基板の寸法に影響を与えることなく、剥離され、再コーティングすることができる。
一実施形態では、処理チャンバ100は、内部容積106を囲むチャンバ本体102及び蓋130を含む。蓋130は、その中心に穴を有し、ノズル132を穴に挿入することができる。チャンバ本体102は、アルミニウム、ステンレス鋼、又は他の適切な材料から製造することができる。チャンバ本体102は、一般的に、側壁108及び底部110を含む。側壁108及び/又は底部110は、耐プラズマ性セラミックスコーティングを含むことができる。
外側ライナー116は、チャンバ本体102を保護するために、側壁108に隣接して配置することができる。外側ライナー116は、耐プラズマ性セラミックスコーティングを用いて製造及び/又はコーティングすることができる。一実施形態では、外側ライナー116は、酸化アルミニウムから製造される。
排気口126は、チャンバ本体102内に形成されることができ、内部容積106をポンプシステム128に結合することができる。ポンプシステム128は、排気して処理チャンバ100の内部容積106の圧力を調整するために使用される1以上のポンプ及びスロットルバルブを含むことができる。
蓋130は、チャンバ本体102の側壁108に支持させることができる。蓋130は、処理チャンバ100の内部容積106へのアクセスを可能にするために開くことができ、閉じると同時に処理チャンバ100に対するシールを提供することができる。ガスパネル158は、処理チャンバ100に結合され、これによってノズル132を通して内部容積106に処理ガス及び/又は洗浄ガスを提供することができる。蓋130は、セラミックス(例えば、Al、Y、YAG、SiO、AlN、SiN、SiC、Si−SiC、又はYAlとY−ZrOの固溶体とを含むセラミックス化合物)とすることができる。ノズル132もまた、セラミックス(例えば、蓋用に挙げたこれらのセラミックスのいずれか)とすることができる。蓋130は、耐プラズマ性セラミックスコーティング133を含むことができる。ノズル132は、耐プラズマ性セラミックスコーティング134でコーティングすることができる。
処理チャンバ100内で基板を処理するために使用することができる処理ガスの例は、ハロゲン含有ガス(例えば、とりわけ、C、SF、SiCl、HBr、NF、CF、CHF、CH、F、NF、Cl、CCl、BCl、及びSiF)及び他のガス(例えば、O、又はNO)を含む。キャリアガスの例は、N、He、Ar、及び処理ガスに不活性な他のガス(例えば、非反応性ガス)を含む。基板支持アセンブリ148は、蓋130の下の処理チャンバ100の内部容積106内に配置される。基板支持アセンブリ148は、処理中に基板144を保持する。リング146(例えば、単一リング)は、静電チャック150の一部を覆うことができ、処理中に覆われた部分をプラズマへの曝露から保護することができる。リング146は、一実施形態では、シリコン又は石英とすることができる。リング146は、耐プラズマ性セラミックスコーティングを含むことができる。
内側ライナー118は、基板支持アセンブリ148の周縁部上で被覆されてもよい。内側ライナー118は、ハロゲン含有ガスレジスト材料(例えば、外側ライナー116を参照して説明したもの)とすることができる。一実施形態では、内側ライナー118は、外側ライナー116と同一の材料から製造することができる。また、内側ライナー118は、耐プラズマ性セラミックスコーティングで被覆することができる
一実施形態では、基板支持アセンブリ148は、台座152を支持する取付板162と、静電チャック150を含む。静電チャック150は、熱伝導性ベース164と、接着剤138(一実施形態では、シリコーン接着剤とすることができる)によって熱伝導性ベースに接合された静電パック166を更に含む。取付板162は、チャンバ本体102の底部110に結合され、ユーティリティ(例えば、流体、電力線、センサリード線など)を熱導電性ベース164及び静電パック166へルーティングするための通路を含む。
静電パック166は、耐プラズマ性セラミックスコーティングを含むことができる。熱伝導性ベース164及び/又は静電パック166は、1以上のオプションの埋設された加熱素子176、埋設された熱絶縁体174、及び/又は導管168、170を含み、これによって支持アセンブリ148の横方向の温度プロファイルを制御することができる。導管168、170は、導管168、170を介して温度調節流体を循環させる流体源172に流体結合させることができる。埋設された熱絶縁体174は、一実施形態では、導管168、170間に配置することができる。加熱素子176は、ヒータ電源178によって調整される。導管168,170及び加熱素子176は、熱伝導性ベース164の温度を制御するために利用され、これによって静電パック166及び処理される基板(例えば、ウェハ)を加熱及び/又は冷却することができる。静電パック166及び熱伝導性ベース164の温度は、コントローラ195を使用して監視することができる複数の温度センサ190、192を使用して監視することができる。
静電パック166は、複数のガス通路(例えば、溝、メサ、及びパック166の上面内に形成可能な他の表面構造)を更に含むことができる。ガス通路は、パック166内に開けられた穴を介して熱伝達(又は裏面)ガス(例えばHe)の供給源に流体結合させることができる。稼働時には、裏面ガスは制御された圧力でガス通路内へ供給され、これによって静電パック166と基板144との間の熱伝達を向上させることができる。
静電パック166は、チャッキング電源182によって制御された少なくとも1つのクランピング電極180を含む。少なくとも1つのクランピング電極180(又は静電パック166又は熱伝導性ベース164内に配置された他の電極)は、処理チャンバ100内で処理ガス及び/又は他のガスから形成されたプラズマを維持するために整合回路188を介して1以上のRF電源184、186に更に結合させることができる。RF電源184、186は、一般的に、約50kHz〜約3GHzの周波数及び最大約10000ワットの電力を有するRF信号を生成することができる。
図2は、製造システム200の例示的なアーキテクチャを示す。製造システム200は、セラミックス製造システムとすることができる。一実施形態では、製造システム200は、機器自動化レイヤー215に接続された(処理機器とも呼ばれる)製造機械210を含む。製造機械201は、ビーズブラスター202、1以上の湿式洗浄装置203、及び/又はプラズマ溶射システム204を含むことができる。製造システム200は、機器自動化レイヤー215に接続された1以上のコンピューティングデバイス220を更に含むことができる。代替の実施形態では、製造システム200は、より多くの又はより少ないコンポーネントを含むことができる。例えば、製造システム200は、機器自動化レイヤー215又はコンピューティングデバイス220なしで、手動操作(例えば、オフライン)の処理機器201を含んでもよい。
ビーズブラスター202は、物品(例えば、物品)の表面を粗面化するように構成された機械である。ビーズブラスター202は、ビーズブラストキャビネット、ハンドヘルドビーズブラスター、又は他のタイプのビーズブラスターであってもよい。ビーズブラスター202は、ビーズ又は粒子を基板に衝突させることによって、基板を粗面化することができる。一実施形態では、ビーズブラスター202は、基板にセラミックスビーズ又は粒子を発射する。ビーズブラスター202によって達成された粗さは、ビーズを発射するために使用される力、ビーズ材料、ビーズサイズ、基板からのビーズブラスターの距離、処理時間などに基づく可能性がある。一実施形態では、ビーズブラスターは、セラミックス物品を粗面化するために、ある範囲のビーズサイズを使用する。
代替の実施形態では、ビーズブラスター202ではなく他のタイプの表面粗面化装置が使用されてもよい。例えば、電動砥粒(研磨)パッドを用いて、セラミックス基板の表面を粗面化してもよい。サンダーは、砥粒パッドを物品の表面に押し付けながら砥粒パッドを回転または振動させることができる。砥粒パッドにより達成される粗さは、印加された圧力、振動又は回転速度、及び/又は砥粒パッドの粗さに依存する可能性がある。
湿式洗浄装置203は、湿式洗浄プロセスを使用して物品(例えば、物品)を洗浄する洗浄装置である。湿式洗浄装置203は、基板を洗浄するために基板を浸漬させる液体で満たされた湿式浴を含む。湿式洗浄装置203は、洗浄効果を向上させるために、洗浄中に超音波を用いて湿式浴を撹拌することができる。本明細書では、これを湿式浴の超音波処理と呼ぶ。
他の実施形態では、代替のタイプの洗浄装置(例えば、乾式洗浄装置)を用いて物品を洗浄してもよい。乾式洗浄装置は、熱を印加する、気体を印加する、又はプラズマを印加するなどによって物品を洗浄することができる。
プラズマ溶射システム204は、基板の表面にセラミックスコーティングをプラズマ溶射するように構成された機械である。一実施形態では、プラズマ溶射システム204は、大気圧プラズマ溶射(APPS)システム(空気プラズマ溶射(APS)システムとも呼ばれる)である。プラズマ溶射システムは、図3〜図4を参照してより詳細に説明される。
機器自動化レイヤー215は、製造機械201の一部又は全部をコンピューティングデバイス220と、他の製造機械と、計測ツール及び/又は他のデバイスと相互接続することができる。機器自動化レイヤー215は、ネットワーク(例えば、位置エリアネットワーク(LAN))、ルータ、ゲートウェイ、サーバ、データストアなどを含むことができる。製造機械201は、SEMI Equipment Communications Standard/Generic Equipment Model(SECS/GEM)インタフェースを介して、イーサネット(登録商標)インタフェースを介して、及び/又は他のインタフェースを介して、機器自動化レイヤー215に接続することができる。一実施形態では、機器自動化レイヤー215は、プロセスデータ(例えば、プロセス実行中に製造機械201によって収集されたデータ)をデータストア(図示せず)に保存可能にする。代替の一実施形態では、コンピューティングデバイス220は、1以上の製造機械201に直接接続する。
一実施形態では、一部又は全部の製造機械201は、プロセスレシピをロード、ストア、及び実行することができるプログラマブルコントローラを含む。プログラマブルコントローラは、製造機械201の温度設定、ガス及び/又は真空の設定、時間の設定等を制御することができる。プログラマブルコントローラは、メインメモリ(例えば、リードオンリーメモリ(ROM)、フラッシュメモリ、ダイナミックランダムアクセスメモリ(DRAM)、スタティックランダムアクセスメモリ(SRAM)など)、及び/又は二次メモリ(例えば、データ記憶装置(例えば、ディスクドライブ))を含むことができる。メインメモリ及び/又は二次メモリは、本明細書記載の熱処理プロセスを実行するための命令を記憶することができる。
プログラマブルコントローラはまた、メインメモリ及び/又は二次メモリに(例えば、バスを介して)結合された処理デバイスを含み、これによって命令を実行することができる。処理デバイスは、汎用処理デバイス(例えば、マイクロプロセッサ、中央処理装置等)であってもよい。処理デバイスはまた、専用処理デバイス(例えば、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号プロセッサ(DSP)、ネットワークプロセッサ等)であってもよい。一実施形態では、プログラマブルコントローラは、プログラマブルロジックコントローラ(PLC)である。
一実施形態では、製造機械201は、製造機械に基板を粗面化させる、基板及び/又は物品を洗浄させる、物品をコーティングさせる、及び/又は物品を加工(例えば、研削又は研磨)させるレシピを実行するようにプログラミングされている。一実施形態では、製造機械201は、図5を参照して説明されるように、セラミックスコーティングされた物品を製造するための複数工程のプロセスの操作を実行するレシピを実行するようにプログラミングされている。コンピューティングデバイス220は、製造機械201にダウンロードすることができる1以上のセラミックスコーティングのレシピ225を格納し、これによって製造機械201に本開示の実施形態に係るセラミックスコーティングされた物品を製造させることができる。
図3〜図4は、チャンバコンポーネント又は腐食性のシステム内で使用される他の物品上に耐プラズマ性セラミックスコーティングをプラズマ溶射するためのプラズマ溶射システム300を示す。プラズマ溶射システム300は、熱溶射システムのタイプである。プラズマ溶射システムでは、ガスが流れている2つの電極間にアークが形成される。プラズマ溶射システム300で使用するのに適したガスの例は、アルゴン/水素又はアルゴン/ヘリウムを含むが、これらに限定されない。ガスがアークによって加熱されながら、ガスは膨張し、プラズマトーチ304の成形ノズルを通して加速され、高速プラズマジェット302を生成する。
粉末309は、粉末送出システム308によってプラズマジェット302内に注入される。プラズマジェット302の激しい温度は、粉末309を溶融し、物品310に向かって溶融したセラミックス材料を推進させる。物品310に衝突すると、溶融した粉末は平坦化され、急速に固化し、セラミックスコーティング312を形成する。溶融した粉末は、物品310に固着する。セラミックスコーティング312の厚さ、密度、及び粗さに影響を与えるパラメータは、粉末の種類、粉末サイズ分布、粉末供給速度、プラズマガス組成、ガス流速、エネルギー入力、圧力、及びトーチオフセット距離を含む。
一実施形態では、プラズマ溶射システム300は、大気圧で作動する従来の大気圧プラズマ溶射(APPS)システムであり、これによってAPPSプロセスを実行する。APPSシステムは、真空チャンバを含まず、その代わりにオープンチャンバ又は部屋を含むことができる。APPSシステムは、比較的高い空孔率を有する酸化物セラミックスコーティングを生成する。例えば、APPSシステムは、いくつかの実施形態では、1〜5%の空孔率を有するセラミックスコーティングを生成することができる。APPSシステムは、およそ20ミクロン〜数ミリメートルの厚さを有するセラミックスコーティングを生成することができる。APPSにおいて、セラミックスコーティングは、主に機械的結合によって、基板に結合する。したがって、一実施形態では、物品310は、プラズマ溶射セラミックスコーティング312を形成する前に粗面化される。
一実施形態では、図4に示されるように、セラミックスコーティング312を形成した後、粉末送出システム308は、プラズマジェット302内へのセラミックス粉末の供給を停止することができる。プラズマガス組成、ガス流量、エネルギー入力、又はトーチオフセット距離のうちの1以上は、更に、この時点で調整することができる。いくつかの実施形態でこれらのパラメータ用に使用される値が、以下の表1に示される。その後、プラズマジェット302は、セラミックスコーティング312のプラズマ火炎熱処理を実行するために使用することができる。このプラズマ火炎熱処理は、セラミックスコーティングの表面を溶融してリフローさせ、これによってセラミックスコーティング312の表面上に薄いクラストを形成することができる。薄いクラストは、減少した表面粗さ、増加した密度、及び減少した空孔率を有することができる。クラストの厚さは、約1ミクロンから最大約40又は50ミクロンとすることができる。一実施形態では、クラストは、約20〜40ミクロンの厚さを有する。また、プラズマ火炎熱処理は、セラミックスコーティングの全表面亀裂のうちのいくつかを減少又は除去することができる。このプラズマ火炎熱処理はまた、セラミックスコーティングの表面にゆるく結合した粒子を減少又は除去することができ、セラミックスコーティングの表面の小塊(ノジュール)を低減又は排除することができる。
図5は、チャンバコンポーネント上にプラズマ溶射セラミックスコーティングを形成するためのプロセス500の一実施形態を示す。ブロック501では、基板が、コーティングのために準備される。基板は、金属基板(例えば、アルミニウム、銅、マグネシウム、又は他の金属又は金属合金)とすることができる。基板はまた、セラミックス基板(例えば、アルミナ、イットリア、又は他のセラミックス又はセラミックスの混合物)とすることができる。基板を準備することは、所望の形状に基板を成形すること、特定の表面粗さを提供するために、基板を研削、ブラスト加工、又は粗面化すること、及び/又は基板を洗浄することを含むことができる。一実施形態では、基板は、粗面化される。これは、フリースペースエネルギーを増加させることにより、表面を活性化することができ、基板へのセラミックスコーティングの機械的結合を強化することができる。
ブロック502では、セラミックスコーティングをプラズマ溶射するための最適な粉末特性が選択される。一実施形態では、最適な粉末タイプ及び最適な粉末粒度分布が、粉末に対して選択される。一実施形態では、最適化された凝集粉末の粒度分布が選択され、ここで、凝集粉末の10%(D10)が10μm未満のサイズを有し、凝集粉末の50%(D50)が10〜30μmのサイズを有し、凝集粉末の90%(D90)が55μm未満のサイズを有する。
特定の組成、純度、及び粒子サイズを有する原料セラミックス粉末が選択される。セラミックス粉末は、前述の希土類酸化物のいずれかで形成することができる。その後、原料セラミックス粉末が、混合される。これらの原料セラミックス粉末は、一実施形態では、99.9%以上の純度を有することができる。原料セラミックス粉末は、例えば、ボールミルを用いて混合することができる。原料セラミックス粉末は、約100nm〜20μmの間の範囲内の粉末サイズを有することができる。一実施形態では、原料セラミックス粉末は、約5μmの粉末サイズを有する。
セラミックス粉末を混合した後、それらは特定の焼成(か焼)時間及び温度で焼成することができる。一実施形態では、約1200〜2000℃(例えば、一実施形態では1400℃)の焼成温度、及び約2〜5時間(例えば、一実施形態では3時間)の焼成時間が使用される。混合粉末用の噴霧乾燥した顆粒の粒子サイズは、一実施形態では、約30μmのサイズ分布を有することができる。
ブロック504では、最適なプラズマ溶射パラメータが選択される。一実施形態では、プラズマ溶射パラメータを最適化することは、プラズマ銃電力及び溶射キャリアガス組成を設定することを含むが、これに限定されない。
粉末特性及びプラズマ溶射パラメータを最適化することは、減少した空孔率及び増加した密度を有するコーティングをもたらすことができる。このような減少した空孔率及び増加した密度は、腐食性要素(例えば、プラズマ)からコーティングされた物品の保護を向上させる。また、完全に溶融した小塊は、粒子問題を引き起こすセラミックスコーティングの脱落及び基板又はウェハの汚染の可能性が低い。
ブロック506では、物品は、選択された粉末特性及びプラズマ溶射パラメータに従ってコーティングされる。プラズマ溶射技術は、材料(例えば、セラミックス粉末)を溶融し、選択したパラメータを使用して物品上に溶融した材料を溶射することができる。一実施形態では、プラズマ溶射セラミックスコーティングは、約200〜650ミクロンの厚さを有することができる。
プラズマ溶射プロセスは、複数の溶射パスで実行することができる。各パスに対して、プラズマ溶射ノズルの角度は、溶射される面に対して相対角度を維持するように変更することができる。例えば、プラズマ溶射ノズルは、溶射される物品の表面と約45度〜約90度の角度を維持するように回転させることができる。各パスは、最大約25μmの厚さを堆積させることができる。プラズマ溶射された耐プラズマ性セラミックスコーティングは、約160〜300マイクロインチの表面粗さを有することができる。
ブロック508では、プラズマ溶射パラメータが調整され、粉末供給速度はゼロまで低減される。したがって、ブロック508では、プラズマジェット中への粉末の流れは、停止される。プラズマ溶射パラメータへの変更は、プラズマ電力、トーチオフセット距離、銃移動速度などを変更することを含むことができる。
表1は、物品をコーティングするために、及びコーティング上にクラストを形成するためにプラズマ火炎熱処理を行うために使用することができる入力パラメータの範囲を示す。パラメータは、プラズマ電力、銃電流、銃電圧、粉末供給速度、銃スタンドオフ距離、及びガス流量を含むが、これらに限定されない。
ブロック510では、プラズマ溶射システムは、調整されたプラズマ溶射パラメータに従って、耐プラズマ性セラミックスコーティング上にプラズマ火炎熱処理を実行するために使用される。プラズマ火炎熱処理は、一実施形態では、約0.5〜20分間実行することができる。プラズマ火炎熱処理は、別の一実施形態では、約10〜15分間実行することができる。プラズマ火炎熱処理は、耐プラズマ性セラミックスコーティングの表面を融解させリフローさせることができ、これは、耐プラズマ性セラミックスコーティングの表面上にクラストを形成させる。これは、セラミックスコーティング上の遊離粒子を減少又は排除することができ、溶融した小塊を部分的に減少又は排除することができる。未溶融粒子と部分溶融小塊の両方とも、処理中の汚染を引き起こす可能性がある。また、クラストは、耐プラズマ性セラミックス層の残りの部分よりも高い密度及び低い空孔率を有することができる。一実施形態では、クラストは、約1ミクロン〜約40ミクロンの間の厚さを有する。一実施形態では、クラストは、20ミクロン未満の厚さを有する。クラストは、プラズマ火炎熱処理の前に、耐プラズマ性セラミックスコーティングの表面粗さよりも約20〜25%低い(滑らかな)表面粗さを有することができる。別の一実施形態では、クラストは、1ミクロン未満の厚さを有する。クラストは、一実施形態では、約100〜150マイクロインチの表面粗さを有することができる。
表2は、上述のように最適化されたプラズマ及び粉末のパラメータを用いて測定されたコーティング特性を示す。
図6は、プラズマ火炎熱処理プロセスによって形成されたクラストを有する耐プラズマ性セラミックスコーティングで覆われた物品(例えば、チャンバコンポーネント)の断面側面図を示す。物品600の本体605は、プラズマ火炎熱処理プロセスによって形成されたクラスト610を有する耐プラズマ性セラミックスコーティング608を含む。耐プラズマ性セラミックスコーティング608は、空孔及び亀裂を有する可能性がある。プラズマ火炎熱処理によって形成されたクラストは、より低い空孔率及びより少ない亀裂を有することができる。また、クラストは、熱処理されていない耐プラズマ性セラミックスコーティングと比較して、より低い表面粗さと低減された粒子とより少ない表面小塊を有することができる。耐プラズマ性セラミックスコーティング608は、約100〜500μmの厚さと、約1〜5%の空孔率を有することができる。クラスト610は、約1〜50μmの厚さを有することができる。
耐プラズマ性セラミックスコーティング608を形成するために使用されるセラミックスの例は、YAl12、YAl、Er、Gd、ErAl12、GdAl12、YAlと、Y−ZrOの固溶体とを含むセラミックス化合物、又は以前に特定した他のセラミックス材料のいずれかを含む。他のEr系及び/又はGd系耐プラズマ性希土類酸化物もまた、耐プラズマ性セラミックスコーティング608を形成するために使用することができる。
前述の説明は、本開示のいくつかの実施形態の良好な理解を提供するために、具体的なシステム、コンポーネント、方法等の例などの多数の具体的な詳細を説明している。しかしながら、本開示の少なくともいくつかの実施形態は、これらの具体的な詳細なしに実施することができることが当業者には明らかであろう。他の例において、周知のコンポーネント又は方法は、本発明を不必要に不明瞭にしないために、詳細には説明しないか、単純なブロック図形式で提示されている。したがって、説明された具体的な詳細は、単なる例示である。特定の実装では、これらの例示的な詳細とは異なる場合があるが、依然として本開示の範囲内にあることが理解される。
本明細書全体を通して「1つの実施形態」又は「一実施形態」への参照は、その実施形態に関連して記載された特定の構成、構造、又は特性が少なくとも1つの実施形態に含まれることを意味している。したがって、本明細書を通じて様々な場所における「1つの実施形態では」又は「一実施形態では」という語句の出現は、必ずしも全て同じ実施形態を指すものではない。また、用語「又は」は、排他的な「又は」ではなく包含的な「又は」を意味することを意図している。
本明細書内の本方法の操作が、特定の順序で図示され説明されているが、特定の操作を逆の順序で行うように、又は特定の操作を少なくとも部分的に他の操作と同時に実行するように、各方法の操作の順序を変更することができる。別の一実施形態では、異なる操作の命令又は副操作は、断続的及び/又は交互の方法であることができる。
なお、上記の説明は例示であり、限定的ではないことを意図していることが理解されるべきである。上記の説明を読み理解することにより、多くの他の実施形態が当業者にとって明らかとなるであろう。したがって、本発明の範囲は、添付の特許請求の範囲を、そのような特許請求の範囲が権利を与える均等物の全範囲と共に参照して決定されるべきである。

Claims (15)

  1. セラミックス粉末をプラズマ溶射システム内へある粉末供給速度で供給する工程と、
    プラズマ溶射システムによって、プラズマ溶射プロセス内で物品の少なくとも1つの表面上に、耐プラズマ性セラミックスコーティングを堆積させる工程と、
    耐プラズマ性セラミックスコーティング上にクラストを形成するために、プラズマ溶射システムによって、耐プラズマ性セラミックスコーティングのインサイチュープラズマ火炎熱処理を実行する工程とを含む方法。
  2. インサイチュープラズマ火炎熱処理を実行する工程は、
    プラズマ電力、銃の移動速度、又はプラズマ溶射システムの銃の距離のうちの少なくとも1つを調整する工程と、
    セラミックス粉末の粉末供給速度をゼロまで低下させる工程とを含む、請求項1記載の方法。
  3. 物品は、プラズマエッチングリアクタ用のチャンバコンポーネントであり、物品は、金属または焼結セラミックスのうちの少なくとも1つを含む、請求項1記載の方法。
  4. 耐プラズマ性セラミックスコーティングは、YAl12、YAl、Er、Gd、Y、ErAl12、GdAl12、YF、又はNdのうちの少なくとも1つを含む、請求項1記載の方法。
  5. 耐プラズマ性セラミックスコーティングは、YAlと、Y−ZrOの固溶体とを含むセラミックス化合物を含む、請求項1記載の方法。
  6. 耐プラズマ性セラミックスコーティングは、1〜5%の空孔率を有し、クラストは、耐プラズマ性セラミックスコーティングよりも低い空孔率を有する、請求項1記載の方法。
  7. クラストは、約50ミクロン未満の厚さを有する、請求項1記載の方法。
  8. クラストは、約20ミクロン未満の厚さを有する、請求項1記載の方法。
  9. インサイチュープラズマ火炎熱処理は、約0.5〜20分間実行される、請求項1記載の方法。
  10. インサイチュープラズマ火炎熱処理は、約10〜15分間実行される、請求項1記載の方法。
  11. 耐プラズマ性セラミックスコーティングは、少なくとも100ミクロンの厚さを有する、請求項1記載の方法。
  12. プラズマ溶射システムは、大気圧プラズマ溶射システムを含む、請求項1記載の方法。
  13. 本体と、
    本体の少なくとも1つの表面上の耐プラズマ性セラミックスコーティングであって、1〜5%の空孔率を有する耐プラズマ性セラミックスコーティングと、
    耐プラズマ性セラミックスコーティングの表面上のクラストであって、クラストは、約50ミクロン未満の厚さと、耐プラズマ性セラミックスコーティングの空孔率よりも低い空孔率を有するスラストとを含む物品。
  14. 耐プラズマ性セラミックスコーティングは、YAl12、YAl、Er、Gd、Y、ErAl12、GdAl12、YF、又はNdのうちの少なくとも1つを含む、請求項13記載の物品。
  15. 耐プラズマ性セラミックスコーティングは、YAlと、Y−ZrOの固溶体とを含むセラミックス化合物を含む、請求項13記載の物品。
JP2016543976A 2013-09-18 2014-09-17 プラズマ火炎熱処理を用いたプラズマ溶射コーティングの強化 Active JP6749238B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361879549P 2013-09-18 2013-09-18
US61/879,549 2013-09-18
US14/462,271 US10468235B2 (en) 2013-09-18 2014-08-18 Plasma spray coating enhancement using plasma flame heat treatment
US14/462,271 2014-08-18
PCT/US2014/056169 WO2015042196A1 (en) 2013-09-18 2014-09-17 Plasma spray coating enhancement using plasma flame heat treatment

Publications (2)

Publication Number Publication Date
JP2016539250A true JP2016539250A (ja) 2016-12-15
JP6749238B2 JP6749238B2 (ja) 2020-09-02

Family

ID=52666881

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016543976A Active JP6749238B2 (ja) 2013-09-18 2014-09-17 プラズマ火炎熱処理を用いたプラズマ溶射コーティングの強化

Country Status (6)

Country Link
US (6) US10468235B2 (ja)
JP (1) JP6749238B2 (ja)
KR (1) KR20160058749A (ja)
CN (1) CN105431232A (ja)
TW (1) TWI624869B (ja)
WO (1) WO2015042196A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019021708A (ja) * 2017-07-13 2019-02-07 東京エレクトロン株式会社 プラズマ処理装置用部品の溶射方法及びプラズマ処理装置用部品
JPWO2018105242A1 (ja) * 2016-12-07 2019-10-24 日本電気株式会社 監視方法、監視システム、および、構造物、建築物または移動体
JP2022520191A (ja) * 2019-02-12 2022-03-29 アプライド マテリアルズ インコーポレイテッド チャンバ部品を製造するための方法
KR20240032700A (ko) 2022-08-30 2024-03-12 주식회사 히타치하이테크 플라스마 처리 장치, 플라스마 처리 장치의 내부 부재, 및 플라스마 처리 장치의 내부 부재의 제조 방법

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
KR101466967B1 (ko) * 2012-06-13 2014-12-15 한국과학기술연구원 내식성이 향상된 다성분계 열용사용 코팅물질, 그 제조방법 및 코팅방법
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9790582B2 (en) * 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
EP3199507A1 (en) 2016-01-29 2017-08-02 Rolls-Royce Corporation Plasma spray physical vapor deposition deposited multilayer, multi-microstructure environmental barrier coating
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) * 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US20180040457A1 (en) * 2016-08-08 2018-02-08 Applied Materials, Inc. Surface treatment for improvement of particle performance
JP6854628B2 (ja) * 2016-11-10 2021-04-07 東京エレクトロン株式会社 プラズマ溶射装置及び溶射制御方法
US20180166311A1 (en) * 2016-12-12 2018-06-14 Applied Materials, Inc. New repair method for electrostatic chuck
US11047034B1 (en) 2017-01-30 2021-06-29 United States Of America As Represented By The Administrator Of National Aeronautics And Space Administration Conductive high toughness oxides deposited by plasma spray—physical vapor deposition (PS-PVD)
US20180240649A1 (en) * 2017-02-17 2018-08-23 Lam Research Corporation Surface coating for plasma processing chamber components
CN107012420B (zh) * 2017-04-06 2019-09-20 江西省科学院应用物理研究所 一种等离子喷涂技术制备氧化铒阻氚渗透涂层的方法
US11680310B2 (en) 2017-05-12 2023-06-20 Continental Reifen Deutschland Gmbh Systems for depositing coatings on surfaces and associated methods
US20190131113A1 (en) * 2017-11-02 2019-05-02 Applied Materials, Inc. Y2O3-SiO2 PROTECTIVE COATINGS FOR SEMICONDUCTOR PROCESS CHAMBER COMPONENTS
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
WO2019177837A1 (en) * 2018-03-13 2019-09-19 Applied Materials, Inc Support ring with plasma spray coating
EP3837227B1 (en) * 2018-08-17 2024-03-13 RTX Corporation Coating repair for ceramic matrix composite (cmc) substrates
CN109877012A (zh) * 2019-02-09 2019-06-14 沈阳富创精密设备有限公司 一种制备高致密氧化钇涂层的方法
US11842890B2 (en) * 2019-08-16 2023-12-12 Applied Materials, Inc. Methods and apparatus for physical vapor deposition (PVD) dielectric deposition
US11365470B2 (en) 2020-01-08 2022-06-21 General Electric Company Ceramic coating formation using temperature controlled gas flow to smooth surface
CN115667576A (zh) * 2020-04-24 2023-01-31 Gvd公司 用于聚合物沉积的系统和方法
US11590527B2 (en) 2020-04-24 2023-02-28 Gvd Corporation Systems, methods, and articles for polymer deposition
US11623239B2 (en) 2020-04-24 2023-04-11 Gvd Corporation Systems and methods for polymer deposition
US11376626B2 (en) 2020-04-24 2022-07-05 Gvd Corporation Methods and systems for polymer deposition
US11866372B2 (en) 2020-05-28 2024-01-09 Saudi Arabian Oil Company Bn) drilling tools made of wurtzite boron nitride (W-BN)
CN114256047B (zh) * 2020-09-25 2023-12-22 中微半导体设备(上海)股份有限公司 半导体零部件、涂层形成方法和等离子体反应装置
CN114381683B (zh) * 2020-10-20 2024-04-12 中国兵器工业第五九研究所 基体防护涂层的制备方法
CN112521183A (zh) * 2020-11-30 2021-03-19 合肥微睿光电科技有限公司 一种干式刻蚀工艺用陶瓷件的熔射方法
US11572752B2 (en) 2021-02-24 2023-02-07 Saudi Arabian Oil Company Downhole cable deployment
US11727555B2 (en) 2021-02-25 2023-08-15 Saudi Arabian Oil Company Rig power system efficiency optimization through image processing
US11846151B2 (en) 2021-03-09 2023-12-19 Saudi Arabian Oil Company Repairing a cased wellbore
US11450514B1 (en) 2021-03-17 2022-09-20 Applied Materials, Inc. Methods of reducing particles in a physical vapor deposition (PVD) chamber
KR20220133654A (ko) * 2021-03-25 2022-10-05 에스케이하이닉스 주식회사 향상된 코팅층 또는 실드를 포함하는 pvd 챔버용 실드 구조체
US11624265B1 (en) 2021-11-12 2023-04-11 Saudi Arabian Oil Company Cutting pipes in wellbores using downhole autonomous jet cutting tools
CN116199507A (zh) * 2021-12-01 2023-06-02 南京工业大学 一种陶瓷粉末制备方法
US11867012B2 (en) 2021-12-06 2024-01-09 Saudi Arabian Oil Company Gauge cutter and sampler apparatus
CN114231885B (zh) * 2021-12-10 2024-04-30 歌尔股份有限公司 一种外壳及其加工方法、穿戴类产品

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007070175A (ja) * 2005-09-08 2007-03-22 Tocalo Co Ltd 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP2007217779A (ja) * 2006-02-20 2007-08-30 Tocalo Co Ltd 熱放射特性等に優れるセラミック溶射皮膜被覆部材およびその製造方法
JP2009161846A (ja) * 2007-12-10 2009-07-23 Densho Engineering Co Ltd プラズマ処理容器内部材の製造方法
JP2009176787A (ja) * 2008-01-22 2009-08-06 Hitachi High-Technologies Corp エッチング処理装置及びエッチング処理室用部材
JP2010121211A (ja) * 2008-11-19 2010-06-03 General Electric Co <Ge> アブレイダブル皮膜を形成する方法
JP2011514933A (ja) * 2008-02-26 2011-05-12 アプライド マテリアルズ インコーポレイテッド 還元プラズマに耐性のイットリウム含有セラミックコーティング
JP2012067364A (ja) * 2010-09-24 2012-04-05 Tocalo Co Ltd 耐食性と耐プラズマエロージョン性に優れる溶射皮膜被覆部材および高エネルギー照射処理する溶射皮膜のひび割れ防止方法

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4371570A (en) * 1980-02-11 1983-02-01 United Technologies Corporation Hot corrosion resistant coatings
US5679167A (en) 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
US6129954A (en) * 1998-12-22 2000-10-10 General Electric Company Method for thermally spraying crack-free mullite coatings on ceramic-based substrates
US6756082B1 (en) * 1999-02-05 2004-06-29 Siemens Westinghouse Power Corporation Thermal barrier coating resistant to sintering
CA2297543A1 (en) * 1999-03-10 2000-09-10 Sulzer Metco Ag Method for the production of a coated structure which is suitable for carrying out heterogeneous catalyses
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
DE60127035T2 (de) * 2000-06-29 2007-11-08 Shin-Etsu Chemical Co., Ltd. Thermisches Sprühbeschichtungsverfahren und Pulver aus Oxyden der seltenen Erden dafür
US6915964B2 (en) 2001-04-24 2005-07-12 Innovative Technology, Inc. System and process for solid-state deposition and consolidation of high velocity powder particles using thermal plastic deformation
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7334330B2 (en) * 2004-04-28 2008-02-26 Siemens Power Generation, Inc. Thermally insulating layer incorporating a distinguishing agent and method for inspecting the same
US20060222777A1 (en) 2005-04-05 2006-10-05 General Electric Company Method for applying a plasma sprayed coating using liquid injection
US7622195B2 (en) 2006-01-10 2009-11-24 United Technologies Corporation Thermal barrier coating compositions, processes for applying same and articles coated with same
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US7983017B2 (en) * 2006-12-26 2011-07-19 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method of forming
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
CA2658210A1 (en) 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
WO2010128147A1 (de) * 2009-05-08 2010-11-11 Sulzer Metco Ag Verfahren zum beschichten eines substrats sowie substrat mit einer beschichtung
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
WO2011150311A1 (en) * 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP5670862B2 (ja) 2011-11-02 2015-02-18 トーカロ株式会社 溶射皮膜における緻密化層の形成方法
JP5496992B2 (ja) 2011-12-13 2014-05-21 中国電力株式会社 プラズマ溶射装置及びその制御方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9687953B2 (en) * 2014-06-27 2017-06-27 Applied Materials, Inc. Chamber components with polished internal apertures
US9925639B2 (en) * 2014-07-18 2018-03-27 Applied Materials, Inc. Cleaning of chamber components with solid carbon dioxide particles

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007070175A (ja) * 2005-09-08 2007-03-22 Tocalo Co Ltd 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP2007217779A (ja) * 2006-02-20 2007-08-30 Tocalo Co Ltd 熱放射特性等に優れるセラミック溶射皮膜被覆部材およびその製造方法
JP2009161846A (ja) * 2007-12-10 2009-07-23 Densho Engineering Co Ltd プラズマ処理容器内部材の製造方法
JP2009176787A (ja) * 2008-01-22 2009-08-06 Hitachi High-Technologies Corp エッチング処理装置及びエッチング処理室用部材
JP2011514933A (ja) * 2008-02-26 2011-05-12 アプライド マテリアルズ インコーポレイテッド 還元プラズマに耐性のイットリウム含有セラミックコーティング
JP2010121211A (ja) * 2008-11-19 2010-06-03 General Electric Co <Ge> アブレイダブル皮膜を形成する方法
JP2012067364A (ja) * 2010-09-24 2012-04-05 Tocalo Co Ltd 耐食性と耐プラズマエロージョン性に優れる溶射皮膜被覆部材および高エネルギー照射処理する溶射皮膜のひび割れ防止方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2018105242A1 (ja) * 2016-12-07 2019-10-24 日本電気株式会社 監視方法、監視システム、および、構造物、建築物または移動体
JP2019021708A (ja) * 2017-07-13 2019-02-07 東京エレクトロン株式会社 プラズマ処理装置用部品の溶射方法及びプラズマ処理装置用部品
US11328905B2 (en) 2017-07-13 2022-05-10 Tokyo Electron Limited Thermal spraying method of component for plasma processing apparatus and component for plasma processing apparatus
JP7224096B2 (ja) 2017-07-13 2023-02-17 東京エレクトロン株式会社 プラズマ処理装置用部品の溶射方法及びプラズマ処理装置用部品
JP2022520191A (ja) * 2019-02-12 2022-03-29 アプライド マテリアルズ インコーポレイテッド チャンバ部品を製造するための方法
JP7268177B2 (ja) 2019-02-12 2023-05-02 アプライド マテリアルズ インコーポレイテッド チャンバ部品を製造するための方法
KR20240032700A (ko) 2022-08-30 2024-03-12 주식회사 히타치하이테크 플라스마 처리 장치, 플라스마 처리 장치의 내부 부재, 및 플라스마 처리 장치의 내부 부재의 제조 방법

Also Published As

Publication number Publication date
TW201515087A (zh) 2015-04-16
US20150079370A1 (en) 2015-03-19
KR20160058749A (ko) 2016-05-25
US20170301520A1 (en) 2017-10-19
US20150075714A1 (en) 2015-03-19
US20180108517A1 (en) 2018-04-19
US20200035463A1 (en) 2020-01-30
WO2015042196A1 (en) 2015-03-26
US20180366302A1 (en) 2018-12-20
TWI624869B (zh) 2018-05-21
JP6749238B2 (ja) 2020-09-02
US10468235B2 (en) 2019-11-05
CN105431232A (zh) 2016-03-23

Similar Documents

Publication Publication Date Title
JP6749238B2 (ja) プラズマ火炎熱処理を用いたプラズマ溶射コーティングの強化
JP6678098B2 (ja) 半導体アプリケーション用希土類酸化物系耐食性コーティング
US10604831B2 (en) Plasma spray coating design using phase and stress control
JP6526729B2 (ja) 希土類酸化物系モノリシックチャンバ材料
JP2015522710A (ja) 重要チャンバコンポーネント用プラズマ溶射プロセスの強化
US20130273313A1 (en) Ceramic coated ring and process for applying ceramic coating
US20130284373A1 (en) Plasma resistant ceramic coated conductive article
US20190157047A1 (en) Plasma spray coating enhancement using graduated particle feed rate

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170809

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180703

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181003

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181203

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190103

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190522

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190821

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20191021

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200122

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200421

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200619

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200721

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200804

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200811

R150 Certificate of patent or registration of utility model

Ref document number: 6749238

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250