JP6678098B2 - 半導体アプリケーション用希土類酸化物系耐食性コーティング - Google Patents

半導体アプリケーション用希土類酸化物系耐食性コーティング Download PDF

Info

Publication number
JP6678098B2
JP6678098B2 JP2016244991A JP2016244991A JP6678098B2 JP 6678098 B2 JP6678098 B2 JP 6678098B2 JP 2016244991 A JP2016244991 A JP 2016244991A JP 2016244991 A JP2016244991 A JP 2016244991A JP 6678098 B2 JP6678098 B2 JP 6678098B2
Authority
JP
Japan
Prior art keywords
article
ceramic coating
ceramic
mol
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016244991A
Other languages
English (en)
Other versions
JP2017100938A (ja
Inventor
ジェニファー ワイ サン
ジェニファー ワイ サン
ビラジャ ピー カヌンゴ
ビラジャ ピー カヌンゴ
トム チョー
トム チョー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017100938A publication Critical patent/JP2017100938A/ja
Priority to JP2017172741A priority Critical patent/JP6971726B2/ja
Application granted granted Critical
Publication of JP6678098B2 publication Critical patent/JP6678098B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/4807Ceramic parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5045Rare-earth oxides
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/87Ceramics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • Y10T428/131Glass, ceramic, or sintered, fused, fired, or calcined metal oxide or metal carbide containing [e.g., porcelain, brick, cement, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension

Description

本発明の実施形態は、概して、セラミックスコーティングされた物品及び基板にセラミ
ックスコーティングを塗布するためのプロセスに関する。
背景
半導体産業では、ますます減少するサイズの構造を作る多くの製造プロセスによって、
デバイスは製造される。いくつかの製造プロセス(例えば、プラズマエッチング及びプラ
ズマ洗浄プロセス)は、基板をエッチング又は洗浄するために、プラズマの高速流に基板
を曝露させる。プラズマは、非常に浸食性がある可能性があり、処理チャンバ及びプラズ
マに曝露される他の表面を浸食する可能性がある。この浸食は、処理される基板をしばし
ば汚染し、デバイスの欠陥に寄与する粒子を生成する可能性がある。
デバイスの幾何学的構造が縮小するにつれて、欠陥への感受性は増大し、粒子汚染物質
への要件(すなわち、オンウェハ性能)は、より厳しくなる。プラズマエッチング及び/
又はプラズマ洗浄プロセスによって導入される粒子汚染を最小限にするために、プラズマ
に耐性のあるチャンバの材料が開発されてきた。このような耐プラズマ性材料の例は、A
、AlN、SiC、Y、石英、及びZrOから構成されるセラミックス
を含む。異なるセラミックスは、異なる材料特性(例えば、耐プラズマ性、剛性、曲げ強
度、耐熱衝撃性など)を提供する。また、異なるセラミックスは、異なる材料コストを有
する。したがって、いくつかのセラミックスは、優れた耐プラズマ性を有し、他のセラミ
ックスは、より低いコストを有し、更に他のセラミックスは、優れた曲げ強度及び/又は
耐熱衝撃性を有する。
概要
一実施形態では、物品は、本体を提供する工程と、約45モル%〜約99モル%の範囲
内のYと、約0モル%〜約55モル%の範囲内のZrOと、約0モル%〜約10
モル%の範囲内のAlを含むセラミックスコーティングで、本体の少なくとも1つ
の表面をコーティングする工程とによって製造される。
本発明は、添付図面の図の中で、限定としてではなく、例として示され、同様の参照符
号は同様の要素を示す。この開示における「一」又は「1つの」実施形態への異なる参照
は、必ずしも同じ実施形態への参照ではなく、そのような参照は、少なくとも1つを意味
することに留意すべきである。
本発明の一実施形態に係る、製造システムの例示的な基本設計概念を示す。 本発明の実施形態に係る、セラミックスコーティングを有する物品の製造プロセスを示すフローチャートである。 本発明の実施形態に係る、製造プロセスの異なる段階中の物品の側断面図を示す。 本発明の実施形態に係る、コーティングのトップダウン・断面顕微鏡写真図を示す。 本発明の実施形態に係る、様々な倍率レベルでのセラミックスコーティングの表面の顕微鏡写真を示す。 本発明の実施形態に係る、様々な倍率レベルでのセラミックスコーティングの表面の顕微鏡写真を示す。 本発明の実施形態に係る、様々な倍率レベルでのセラミックスコーティングの表面の顕微鏡写真を示す。 本発明の実施形態に係る、セラミックスコーティングの正規化された浸食速度を示す。
実施形態の詳細な説明
本発明の実施形態は、セラミックスコーティングを有する基板又は本体をコーティング
するためのプロセス、及びそのようなコーティングプロセスを用いて作られた物品(例え
ば、プラズマエッチングリアクタ用の蓋、シャワーヘッド、チャンバライナ等)を対象と
している。一実施形態では、物品は、モル濃度が、約45モル%〜約100モル%の範囲
内のYと、約0モル%〜約55モル%の範囲内のZrOと、約0モル%〜約10
モル%の範囲内のAlを含むセラミックスコーティングでコーティングされた本体
を含む。一実施形態では、物品は、約30モル%〜約60モル%の範囲内のYと、
約0モル%〜約20モル%の範囲内のZrOと、約30モル%〜約60モル%の範囲内
のAlを含むセラミックスコーティングでコーティングされた本体を含む。一例で
は、コーティングは、約5ミル〜約25ミルの間の厚さを有することができる。
物品の本体は、金属(いくつか例を挙げると、アルミニウム、銅、又はマグネシウムな
ど)を含むことができる。あるいはまた、物品用の本体は、セラミックス(例えば、Al
、AlNなど)を含むことができる。いくつかの実施形態では、コーティングの前
に、物品の表面は、約100マイクロインチ〜約300マイクロインチの粗さに粗面化さ
れる、及び/又は約70℃〜約200℃の温度に加熱されることができる。
物品のセラミックスコーティングは、プラズマエッチングに対して高耐性であることが
でき、物品は、優れた機械的特性(例えば、高い曲げ強度及び/又は高い耐熱衝撃性)を
有することができる。例えば、Alは、高い熱機械的強度を有するが、比較的高い
アルミニウム汚染レベルと低いプラズマ耐性もまた有する。対照的に、Y含有セラ
ミックスは、プラズマ耐性と低いオンウェハレベルのアルミニウム汚染を向上させるが、
比較的低い熱機械的強度を有する。したがって、物品は、第1のセラミックス物質(例え
ば、Al)の有利な特性と第2のセラミックス物質(例えば、Y含有セラミ
ックス)の有利な特性を、どちらのセラミックス物質の弱点なしに有することができる。
コーティングされたセラミックス物品の性能特性は、比較的高い加熱能力(例えば、最
大約150℃の動作温度に耐える能力)、比較的長い寿命(例えば、プラズマ環境中で使
用された場合、約2年以上)、低いオンウェハ粒子及び金属汚染、及び安定した静電チャ
ック(ESC)のリーク電流特性(例えば、物品がESCの場合)を含むことができる。
例えば、導電体の蓋は、高温アプリケーション用半導体製造で使用される部品であり、
ここでAl製の蓋を形成することは、高い熱伝導率及び曲げ強度を提供する。しか
しながら、フッ素化学の下では、曝露されたAlは、AlF粒子ならびにオンウェ
ハのAl金属汚染を形成する。蓋のプラズマに面する側上の一実施形態に係るセラミック
スコーティングは、著しく浸食を低減させ、Al金属汚染を低減させることができる。
別の一例では、半導体製造チャンバ内で使用するための誘電体シャワーヘッドは、Si
Cのフェースプレートに接合された陽極酸化Alベースから形成することができる。Si
Cのフェースプレートは、ウェハエッチングの均一性に影響を与える高い浸食速度を有す
る可能性があった。更に、陽極酸化Alベースへのフェースプレートの接合は、プラズマ
照射によって損傷する可能性があり、これによってフェースプレートは、陽極酸化Alベ
ースに不均一に接合され、シャワーヘッドの熱均一性を低減させる可能性があった。一実
施形態に係るセラミックスコーティングは、無垢のAlベース上に直接塗布され、これに
よって接合及び浸食の問題を改善することができる。
別の一例では、半導体製造チャンバライナ(例えば、チャンバライナキット)は、プラ
ズマ曝露面を一実施形態に係るセラミックスコーティングでコーティングされ、非プラズ
マ曝露面を陽極酸化AlでコーティングされたAl基板から形成することができる。その
結果、セラミックスコーティングは、オンウェハ特性を向上させ、ならびにコーティング
の空孔率レベルに基づいてクリーニングウィンドウを広げることができる。
用語「約」又は「およそ」は、本明細書で使用される場合、これらは、提示された公称
値が±10%以内で正確であることを意味することを意図している。半導体製造用プラズ
マエッチング装置内で使用される導電体の蓋、誘電体シャワーヘッド、及びチャンバライ
ナを参照して、いくつかの実施形態が本明細書中に記載されることにも留意すべきである
。しかしながら、このようなプラズマエッチング装置は、マイクロ電気機械システム(M
EMS))デバイスを製造するために使用されてもよいことを理解すべきである。また、
本明細書に記載のセラミックス物品は、プラズマに曝露される他の構造であってもよい。
例えば、セラミックス物品は、プラズマエッチング装置、プラズマ洗浄装置、及びプラズ
マ推進システムなどの、セラミックスリング、壁、ベース、ガス分配板、シャワーヘッド
、基板保持フレームなどとすることができる。
更に、実施形態は、プラズマリッチプロセス用の処理チャンバ内で使用された場合に、
低減された粒子汚染をもたらす物品を参照して、本明細書中に記載される。しかしながら
、本明細書で説明される物品は、他の処理用の処理チャンバ(例えば、プラズマ強化化学
蒸着(PECVD)チャンバ、プラズマ強化物理蒸着(PEPVD)チャンバ、プラズマ
強化原子層堆積(PEALD)チャンバ、ならびに非プラズマエッチング装置、非プラズ
マ洗浄装置、化学蒸着(CVD)炉、物理蒸着(PVD)炉など)の中で使用された場合
に、低減された粒子欠陥及び金属汚染を提供することもできることを理解すべきである。
図1は、本発明の実施形態に係る製造システム100の例示的な基本設計概念を示す。
製造システム100は、セラミックス製造システムとすることができる。一実施形態では
、製造システム100は、機器自動化層115に接続された処理機器101を含む。処理
機器101は、ビーズブラスター102、1以上の湿式洗浄装置103、セラミックスコ
ーティング装置104及び/又は1以上のグラインダ105を含むことができる。製造シ
ステム100は、機器自動化層115に接続された1以上のコンピューティングデバイス
120を更に含むことができる。代替実施形態では、製造システム100は、より多く又
はより少ないコンポーネントを含むことができる。例えば、製造システム100は、機器
自動化層115又はコンピューティングデバイス120無しに、手動操作(例えば、オフ
ライン)の処理機器101を含んでもよい。
ビーズブラスター102は、物品(例えば、半導体製造チャンバ内で使用するための部
品)の表面を粗面化するように構成された機械である。ビーズブラスター102は、ビー
ズブラスティングキャビネット、ハンドヘルドビーズブラスター、又は他のタイプのビー
ズブラスターとすることができる。ビーズブラスター102は、ビーズ又は粒子を物品に
衝突させることによって物品を粗面化することができる。一実施形態では、ビーズブラス
ター102は、物品にセラミックスビーズ又は粒子を発射する。ビーズブラスター102
によって達成される粗さは、ビーズを発射するのに使用した力、ビーズ材料、ビーズのサ
イズ及び/又は処理時間に基づくことができる。一実施形態では、ビーズブラスターは、
物品を粗面化するために、ある範囲のビーズサイズを使用する。
別の実施形態では、ビーズブラスター102ではなく他のタイプの表面粗面化装置を使
用することができる。例えば、電動砥粒パッドは、物品の表面を粗面化するために使用す
ることができる。サンダーは、砥粒パッドを物品の表面に押し付けながら、砥粒パッドを
回転又は振動させることができる。砥粒パッドによって達成される粗さは、印加される圧
力に、振動又は回転速度に、及び/又は砥粒パッドの粗さに依存する可能性がある。
湿式洗浄装置103は、湿式洗浄プロセスを使用して物品(例えば、半導体の製造用に
使用される物品)を洗浄する洗浄装置である。湿式洗浄装置103は、物品を洗浄するた
めに物品が内部に浸漬される、液体で満たされた湿式浴を含む。湿式洗浄装置103は、
洗浄効率を向上させるために、洗浄中に超音波を用いて湿式浴を撹拌することができる。
これを、本明細書内では、湿式浴の超音波処理と呼ぶ。
一実施形態では、湿式洗浄装置103は、脱イオン(DI)水浴を用いて物品を洗浄す
る第1湿式洗浄装置と、アセトン浴を用いて物品を洗浄する第2湿式洗浄装置を含む。両
方の湿式洗浄装置103は、洗浄プロセス中に浴槽を超音波処理することができる。湿式
洗浄装置103は、処理中に、複数の段階で物品を洗浄することができる。例えば、湿式
洗浄装置103は、物品が粗面化された後、セラミックスコーティングが物品に塗布され
た後、物品が処理内で使用された後等に、物品を洗浄することができる。
他の実施形態では、代替タイプの洗浄装置(例えば、乾式洗浄装置)が使用され、これ
によって物品を洗浄することができる。乾式洗浄装置は、熱を印加する、ガスを印加する
、プラズマを印加するなどによって、物品を洗浄することができる。
セラミックスコーター104は、半導体製造内で使用するための基板又は物品の表面に
セラミックスコーティングを塗布するように構成された機械である。一実施形態では、セ
ラミックスコーター104は、物品上にセラミックスコーティングをプラズマ溶射するプ
ラズマ溶射装置である。
代替の実施形態では、セラミックスコーター104は、他の溶射技術を適用することが
できる。例えば、爆発溶射、ワイヤーアーク溶射、高速酸素燃料(HVOF)溶射、フレ
ーム溶射、ウォームスプレー、及びコールドスプレーを使用することができる。更に、セ
ラミックスコーター104は、他のコーティングプロセスを実行することができる。セラ
ミックスコーティングを形成するために、例えば、エアロゾルデポジション法、電気メッ
キ、物理蒸着(PVD)、イオンアシスト蒸着(IAD)、及び化学蒸着(CVD)を使
用することができる。
グラインダ105は、物品の表面を研削及び/又は研磨する砥粒ディスクを有する機械
である。グラインダ105は、研磨/研削システム(例えば、粗ラッピングステーション
、化学機械平坦化(CMP)装置など)を含むことができる。グラインダ105は、物品
と、回転させながら物品に押し付けられる砥粒ディスク又は研磨パッドとを保持するプレ
ートを含むことができる。これらのグラインダ105は、セラミックスコーティングの表
面を研削し、これによってセラミックスコーティングの粗さを減少させる、及び/又はセ
ラミックスコーティングの厚さを減少させる。グラインダ105は、各ステップがわずか
に異なる粗さ及び/又は異なるスラリー(例えば、CMPが使用される場合)を有する砥
粒パッドを使用して、多段階にセラミックスコーティングを研削/研磨することができる
。例えば、高い粗さを有する第1の砥粒パッドを用いて、迅速に所望の厚さにセラミック
スコーティングを研削することができ、低い粗さを有する第2の砥粒パッドを用いて、所
望の粗さにセラミックスコーティングを研磨することができる。一例では、ライナーキッ
ト上のセラミックスコーティングの厚さは、約8〜12ミルであり、約180〜250μ
inのコーティング粗さを有することができる。別の一例では、蓋上のセラミックスコー
ティングの厚さは、約8〜10ミルであり、約6〜12μinのコーティング粗さを有す
ることができる。更に別の一実施形態では、セラミックスコーティングの厚さは、シャワ
ーヘッド用に約25ミルであり、約180〜250μinのコーティング粗さを有する。
一実施形態では、セラミックスコーティングは、約8〜12ミル(1000分の1インチ
)の研磨後の厚さと、約6〜12μinの研磨後の粗さを有する。
グラインダ105は、ある角度でセラミックスコーティングを研削するアングルグライ
ンダを更に含むことができる。アングルグラインダは、物品へある角度で保持された研磨
ディスク又はパッドを有する。アングルグラインダは、セラミックスコーティングをトリ
ミングし、セラミックスコーティングと物品との間に、面取り、丸みを帯びたエッジ、又
は他の傾斜した遷移部を生成することができる。
機器自動化レイヤー115は、製造機械101の一部又は全部をコンピューティングデ
バイス120と、他の製造機械と、計測ツール及び/又は他のデバイスと相互接続するこ
とができる。機器自動化レイヤー115は、ネットワーク(例えば、位置エリアネットワ
ーク(LAN))、ルータ、ゲートウェイ、サーバ、データストアなどを含むことができ
る。製造機械101は、SEMI Equipment Communications
Standard/Generic Equipment Model(SECS/G
EM)インタフェースを介して、イーサネット(登録商標)インタフェースを介して、及
び/又は他のインタフェースを介して、機器自動化レイヤー115に接続することができ
る。一実施形態では、機器自動化レイヤー115は、プロセスデータ(例えば、プロセス
実行中に製造機械101によって収集されたデータ)をデータストア(図示せず)に保存
可能にする。代替の一実施形態では、コンピューティングデバイス120は、1以上の製
造機械101に直接接続する。
一実施形態では、一部又は全部の製造機械101は、プロセスレシピをロード、ストア
、及び実行することができるプログラマブルコントローラを含む。プログラマブルコント
ローラは、製造機械101の温度設定、ガス及び/又は真空の設定、時間の設定等を制御
することができる。プログラマブルコントローラは、メインメモリ(例えば、リードオン
リーメモリ(ROM)、フラッシュメモリ、ダイナミックランダムアクセスメモリ(DR
AM)、スタティックランダムアクセスメモリ(SRAM)など)、及び/又は二次メモ
リ(例えば、データ記憶装置(例えば、ディスクドライブ))を含むことができる。メイ
ンメモリ及び/又は二次メモリは、本明細書に記載の熱処理プロセスを実行するための命
令を記憶することができる。
プログラマブルコントローラはまた、メインメモリ及び/又は二次メモリに(例えば、
バスを介して)結合された処理デバイスを含み、これによって命令を実行することができ
る。処理デバイスは、汎用処理デバイス(例えば、マイクロプロセッサ、中央処理装置等
)であってもよい。処理デバイスはまた、専用処理デバイス(例えば、特定用途向け集積
回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号
プロセッサ(DSP)、ネットワークプロセッサ等)であってもよい。一実施形態では、
プログラマブルコントローラは、プログラマブルロジックコントローラ(PLC)である
一実施形態では、製造機械101は、製造機械に物品を粗面化させる、物品をコーティ
ングさせる、及び/又は、物品を機械加工(例えば、研削又は研磨)させるレシピを実行
するようにプログラムされる。一実施形態では、製造機械101は、図2を参照して説明
したように、セラミックスコーティングされた物品を製造するための多段階プロセスの操
作を実行するレシピを実行するようにプログラムされる。
図2は、本発明の実施形態に係る、セラミックスコーティングされた物品を製造するた
めのプロセス200を示すフローチャートである。プロセス200の操作は、図1に記載
されたように、様々な製造機械によって実行することができる。
ブロック202では、物品が提供される。例えば、物品は、導電性材料(例えば、Al
)で形成された半導体製造チャンバ用の蓋とすることができる。別の一例では、物
品は、半導体製造チャンバ内で使用するためのシャワーヘッド(例えば、SiCフェース
プレートに結合された陽極酸化Alベースから形成された誘電体シャワーヘッド)とする
ことができる。更に別の一例では、物品は、Alから形成されたチャンバライナ(例えば
、半導体製造チャンバ用チャンバライナキット)とすることができる。
物品は、バルクセラミックス(例えば、Y(イットリア)、YAl(Y
AM)、Al(アルミナ)、YAl12(YAG)、石英、YAlO(Y
AP)、SiC(炭化ケイ素)、Si(窒化ケイ素)、AlN(窒化アルミニウム
)、ZrO(ジルコニア)、AlON(酸窒化アルミニウム)、TiO(チタニア)
、TiC(炭化チタン)、ZrC(炭化ジルコニウム)、TiN(窒化チタン)、TiC
N(炭窒化チタン)、Y安定化ZrO(YSZ)など)から形成することができ
る。あるいはまた、物品は、金属(例えば、アルミニウム、銅、マグネシウムなど)から
形成することができ、それは陽極酸化されてもされなくてもよい。物品はまた、セラミッ
クス複合材料(例えば、Al−YAGセラミックス複合材料又はSiC−Si
セラミックス複合材料)とすることができる。物品はまた、酸化イットリウム(イット
リア又はYとしても知られる)含有固溶体を含むセラミックス複合材料とすること
ができる。例えば、物品は、化合物YAl(YAM)と固溶体Y2−xZr
(Y−ZrO固溶体)で構成される高機能材料(HPM)とすることができる
。純酸化イットリウムならびに酸化イットリウム含有固溶体は、ZrO、Al
SiO、B、Er、Gd、Nd、Nb、CeO、S
、Yb、又は他の酸化物のうちの1以上をドープしてもよいことに留意す
べきである。一実施形態では、物品は、セラミックス焼結に基づいて形成された可能性の
あるバルクAlである。
ブロック204では、提供された物品は、粗面化されない部分又は領域を覆うためにマ
スクされる。セラミックスコーティングで最終的にコーティングされない任意の領域は、
マスクされることが可能である。しかしながら、一実施形態では、ハードマスク(例えば
、金属マスク)が、その領域をマスクするために使用される。一実施形態では、物品は、
マスクされない。
ブロック206では、物品は、ビーズブラスター(又は他のセラミックス粗面化装置)
によって粗面化される。一実施形態では、ビーズブラスターは、物品の表面をブラストす
るためにセラミックスビーズを使用する。セラミックスビーズは、約0.2〜2mmのビ
ーズサイズを有することができる。一実施形態では、セラミックスビーズは、約0.2〜
2mmのサイズ範囲を有する。ビーズブラスターは、約30〜90psiの空気圧と約5
0〜150mmの作動距離で物品をビーズブラストすることができ、本体へのブラスト角
は、約90度又は90度よりもわずかに小さくする必要がある。ビーズブラスターは、物
品の本体の露出部分(マスクで覆われていない部分)を粗面化することができる。
一実施形態では、加工された物品は、セラミックス基板上で約140〜240μin、
金属基板上で120〜180μinのブラスト後粗さを有する。物品を最適な粗さに粗化
すると、セラミックスコーティングの物品への密着強度を向上させることができる。しか
しながら、一実施形態では、物品は、粗面化されない。
ブロック208では、物品は洗浄される。物品は、1以上の湿式洗浄装置を使用して洗
浄される。各湿式洗浄装置は、種々の液体(例えば、脱イオン(DI)水及びアセトン)
を有する1以上の湿式浴を含むことができる。一実施形態では、第1の湿式洗浄装置は、
最大100%の周波数及び電力(例えば、約20kW)でDI水浴を超音波攪拌しながら
、DI水浴中で最大10分間、物品を洗浄する洗浄レシピを実行する。一実施形態では、
第2の湿式洗浄装置は、最大100%の周波数及び電力(例えば、約20kW)でアセト
ン浴を超音波攪拌しながら、アセトン浴中で最大10分間、物品を洗浄する洗浄レシピを
実行する。物品は、その後、同じ又は異なる処理パラメータを用いて、第1の湿式洗浄装
置で2度目の洗浄をすることができる。これは、アセトン浴に起因する任意の残留物を除
去することができ、更に粒子を除去することができる。一実施形態では、物品は、両方の
湿式洗浄装置によって複数回洗浄される。例えば、物品は、DI浴中で洗浄され、次にア
セトン浴中で、次にDI浴中で、次にアセトン浴中で、次にDI浴中で洗浄することがで
きる。
ブロック212では、物品は、セラミックスコーティングでコーティングされる。物品
のプラズマ環境に曝される側をコーティングすることができる。一実施形態では、プラズ
マ溶射装置が、物品上にセラミックスコーティングをプラズマ溶射するために使用される
。一実施形態では、コーティングされるべきではない物品の部分は、コーティングする前
にマスクされる。
混合セラミックス原料粉末が、物品上に溶射される。物品は、プラズマ溶射中、約50
〜70℃の温度に加熱することができる。一実施形態では、約35〜36.5ワット(W
)のプラズマ電力が、物品をプラズマ溶射するために使用されるが、他のプラズマ電力を
使用してもよい。プラズマ溶射プロセスは、複数の溶射パスで実行することができる。一
実施形態では、約35〜40回の溶射パスが、セラミックスコーティングを生成するため
に適用される。一例では、コーティングは、約5〜50ミルの厚さを有することができる
一実施形態では、セラミックスコーティングは、溶射技術(例えば、プラズマ溶射技術
)を使用してセラミックス本体上に堆積された酸化イットリウム含有セラミックス又は他
のイットリウム含有酸化物である。溶射技術(例えば、プラズマ溶射技術)は、材料(例
えば、セラミックス粉末)を溶融し、物品上に溶融した材料を噴霧することができる。熱
溶射又はプラズマ溶射されたセラミックスコーティングは、約20マイクロメートル(μ
m)〜約数ミリメートル(mm)の厚さを有する。セラミックスコーティングは、バルク
セラミックス材料とは異なる構造特性を有することができる。
一実施形態では、セラミックスコーティングは、一緒に混合されたY、Al
、及びZrOの原料セラミックス粉末から製造される。これらの原料セラミックス粉
末は、一実施形態では、99.9%以上の純度を有することができる。原料セラミックス
粉末は、例えば、ボールミルを用いて混合することができる。原料セラミックス粉末は、
約0.5〜5μmの粉末サイズを有することができる。一実施形態では、原料セラミック
ス粉末は、約1μmの粉末サイズを有する。セラミックス粉末が混合された後、それらは
、約1200〜1600℃(例えば、一実施形態では1400℃)の焼成温度で、約5〜
10日間(例えば、一実施形態では3日間)の焼成時間で焼成することができる。混合粉
末用のスプレー乾燥された顆粒の粒径は、約3〜50μmのサイズ分布を有することがで
きる。一実施形態では、平均サイズは、約15μmである。別の一実施形態では、平均サ
イズは、約25μmである。
一実施形態では、セラミックスコーティングは、約45モル%〜約100モル%の範囲
内のYと、約0モル%〜約55モル%の範囲内のZrOと、約0モル%〜約10
モル%の範囲内のAlから形成される。一実施形態では、セラミックスコーティン
グは、約30モル%〜約60モル%の範囲内のYと、約0モル%〜約20モル%の
範囲内のZrOと、約30モル%〜約60モル%の範囲内のAlから形成される
例えば、セラミックスコーティングCC1は、約37.5モル%のYと、約62
.5モル%のAlから形成することができる。別の一例のセラミックスコーティン
グCC2は、約53モル%のYと、約37モル%のAlと、約10モル%の
ZrOから形成することができる。別の一例では、セラミックスコーティングCC3は
、約41モル%のYと、約47モル%のAlと、約12モル%のZrO
ら形成することができる。更に別の一例では、セラミックスコーティングCC4は、約7
3.13モル%のYと、約26.87モル%のZrOから形成することができる
表1は、一実施形態に係るセラミックスコーティングCC1、CC2、及びCC3の特
性を示す。
表2は、一実施形態に係る、質量ppm内の不純物値を含むセラミックスコーティング
のCC1、CC2、CC3、CC4に対する純度データを示す。
セラミックスコーティングは、約2〜10%の空孔率(例えば、一実施形態では約5%
未満)、約3〜8ギガパスカル(GPa)の硬度(例えば、一実施形態では約4GPaよ
りも大きい)、及び約8〜20メガパスカル(MPa)の耐熱衝撃性(例えば、一実施形
態では約10メガパスカルよりも大きい)を有することができる。また、セラミックスコ
ーティングは、約4〜20MPaの接着強度(例えば、一実施形態では約14MPaより
も大きい)を有することができる。接着強度は、セラミックスコーティングが物品から剥
離するまで(例えば、メガパスカルで測定される)力をセラミックスコーティングに印加
することによって決定することができる。
一実施形態では、ブロック212で、セラミックスコーティングは、トリミングされる
。一実施形態では、セラミックスコーティングの縁部はトリミングされ、そこでセラミッ
クスコーティングは物品と接合する。セラミックスコーティングは、界面でセラミックス
コーティングを面取りするために(例えば、アングルグラインダを使用して)ある角度で
研削することができる。トリミングは、物品の非粗面化部分から任意のセラミックスコー
ティングを除去することができる。これは、剥離を最小限に抑えることができる。
一実施形態では、ブロック214で、セラミックスコーティングは、研削、ラッピング
及び/又は研磨される。研削/研磨は、セラミックスコーティングの厚さを減少させる、
及び/又はセラミックスコーティングの粗さを低減させることができる。(セラミックス
コーティングを含む)物品は、導体のエッチングを実行するために使用される(プラズマ
エッチングリアクタとしても知られている)プラズマエッチング装置用のチャンバ内のチ
ャンバ部品(例えば、蓋)として使用することができる。セラミックスコーティングされ
た物品の表面粗さを最小化することによって、露出される表面積を低減し、低減されたオ
ンウェハの金属汚染をもたらす。一実施形態では、セラミックスコーティングは、約8〜
10ミルの研磨後の厚さと、約6〜12μinの研磨後の粗さを有する。
ブロック216では、コーティングされた物品は、洗浄される。物品は、1以上の湿式
洗浄装置を使用して洗浄することができる。一実施形態では、第1の湿式洗浄装置は、最
大100%の周波数と電力(例えば、20kW)でDI水浴を超音波攪拌しながら、DI
水浴中で最大10分間、物品を洗浄する洗浄レシピを実行する。一実施形態では、第2の
湿式洗浄装置は、最大100%の周波数と電力(例えば、20kW)でアセトン浴を超音
波攪拌しながら、アセトン浴中で最大10分間、物品を洗浄する洗浄レシピを実行する。
その後、物品は、第1の湿式洗浄装置で2度目の洗浄をしてもよい。
洗浄後、物品は、粒子に対して試験することができる。粒子数を表す測定パラメータは
、テープ剥離試験の粒子数及び液体粒子数(LPC)である。テープ試験は、セラミック
スコーティングに接着テープを付着させ、テープを剥離し、テープに付着した粒子の数を
数えることによって実行することができる。LPCは、水浴(例えば、脱イオン(DI)
水浴)中に物品を配置し、水浴を超音波処理することによって決定することができる。そ
の後、溶液中の脱落粒子数は、例えば、レーザカウンタを用いて数えることができる。
図3は、本発明の実施形態に係る、製造プロセスの異なる段階中の物品の側断面図31
0〜350を示す。一実施形態では、側断面図は、製造プロセス200の異なる段階中の
物品の状態に対応する。
側面図310は、提供された物品の保護された部分の上に配置されたハードマスク35
3を示す。提供された物品は、金属本体(例えば、アルミニウム本体)又はセラミックス
本体(例えば、Al本体)を有することができる。側面図310は、方法200の
ブロック202の完了後の物品の状態を示す。ハードマスク353は、保護された部分が
ビーズブラスト中に粗面化されるのを防ぐことができる。
側面図320は、ビーズブラストが実行された後の物品352を示す。物品352は、
ビーズブラスト中に保護されなかった物品の部分に対応する粗面358を有する。物品3
52は、粗面化されなかった物品の部分に対応する平滑面357を更に有する。図示され
るように、ソフトマスク356は、物品352が粗面化された後、平滑面357上の物品
352上に配置される。ソフトマスク356は、ハードマスク353によって以前に保護
された物品352の同じ領域を覆うために使用することができる。側面図320は、ブロ
ック212の完了後の物品の状態を示す。
側面図330は、物品352上の(例えば、本明細書に記載の実施形態のうちの1つに
係る)セラミックスコーティング360を示す。図示されるように、セラミックスコーテ
ィング360は、粗面362を有する。この粗面は、セラミックス物品が処理中に使用さ
れた場合に、粒子汚染の源となる可能性がある。また、導体のエッチングを実行するプラ
ズマエッチング装置内の蓋として物品を使用することができるならば、粗面362は、(
例えば、誘導結合に起因して)スパッタリングが発生する原因となる可能性がある。また
、セラミックスコーティングは、リップ363及び/又はソフトマスク352があった粗
いエッジ部を有する可能性がある。このリップ363は、セラミックスコーティング36
0が処理中に物品352から剥離する原因となる可能性がある。また、このリップは、粒
子汚染の源となる可能性がある。側面図330は、ブロック215の完了後の物品の状態
を示す。
側面図340は、セラミックスコーティング360のエッジ部がトリミングされた後の
物品352上のセラミックスコーティング360を示す。側面図340は、ブロック22
0の完了後の物品の状態を示す。図示されるように、セラミックスコーティング360は
、テーパのついた又は面取りされたエッジ部366を有する。
側面図350は、セラミックスコーティング360が研削及び研磨された後の物品35
2上のセラミックスコーティング360を示す。側面図350は、ブロック222の完了
後の物品の状態を示す。図示されるように、セラミックスコーティング360の粗面36
2は平滑化され、セラミックスコーティング360の厚さは、低減されている。
図4は、本発明の実施形態に係る、セラミックスコーティングを有する物品のサンプル
の顕微鏡写真を示す。顕微鏡写真402は、セラミックスコーティングCC1の表面を示
し、顕微鏡写真404は、セラミックスコーティングCC2の表面を示し、顕微鏡写真4
06は、セラミックスコーティングCC3の表面を示し、顕微鏡写真408は、セラミッ
クスコーティングCC4の表面を示す。顕微鏡写真410は、セラミックスコーティング
CC1の断面のサンプルを示し、顕微鏡写真412は、セラミックスコーティングCC2
の断面のサンプルを示し、顕微鏡写真414は、セラミックスコーティングCC3の断面
のサンプルを示し、顕微鏡写真415は、セラミックスコーティングCC4の断面のサン
プルを示す。
図5は、本発明の実施形態に係る、セラミックスコーティングが使用される前と、浸食
が発生するようにセラミックスコーティングが使用された後の、様々な倍率レベルでの物
品上のセラミックスコーティングCC1の表面の追加的な顕微鏡写真502〜512を示
す。顕微鏡写真502は、物品が使用される前の1000倍の倍率でのセラミックスコー
ティングCC1を示す。顕微鏡写真504は、物品が使用される前の4000倍の倍率で
のセラミックスコーティングCC1を示す。顕微鏡写真506は、物品が使用される前の
10000倍の倍率でのセラミックスコーティングCC1を示す。
顕微鏡写真508は、浸食が発生するように物品が使用された後における1000倍の
倍率でのセラミックスコーティングCC1を示す。顕微鏡写真510は、浸食が発生する
ように物品が使用された後における4000倍の倍率でのセラミックスコーティングCC
1を示す。顕微鏡写真512は、浸食が発生するように物品が使用された後における10
000倍の倍率でのセラミックスコーティングCC1を示す。
図6は、本発明の実施形態に係る、セラミックスコーティングが使用される前と、浸食
が発生するようにセラミックスコーティングが使用された後の、様々な倍率レベルでの物
品上のセラミックスコーティングCC2の表面の追加的な顕微鏡写真602〜612を示
す。顕微鏡写真602は、物品が使用される前の1000倍の倍率でのセラミックスコー
ティングCC2を示す。顕微鏡写真604は、物品が使用される前の4000倍の倍率で
のセラミックスコーティングCC2を示す。顕微鏡写真606は、物品が使用される前の
10000倍の倍率でのセラミックスコーティングCC2を示す。
顕微鏡写真608は、浸食が発生するように物品が使用された後における1000倍の
倍率でのセラミックスコーティングCC2を示す。顕微鏡写真610は、浸食が発生する
ように物品が使用された後における4000倍の倍率でのセラミックスコーティングCC
2を示す。顕微鏡写真612は、浸食が発生するように物品が使用された後における10
000倍の倍率でのセラミックスコーティングCC2を示す。
図7は、本発明の実施形態に係る、セラミックスコーティングが使用される前と、浸食
が発生するようにセラミックスコーティングが使用された後の、様々な倍率レベルでの物
品上のセラミックスコーティングCC3の表面の追加の顕微鏡写真702〜712を示す
。顕微鏡写真702は、物品が使用される前における1000倍の倍率でのセラミックス
コーティングCC3を示す。顕微鏡写真704は、物品が使用される前における4000
倍の倍率でのセラミックスコーティングCC3を示す。顕微鏡写真706は、物品が使用
される前における10000倍の倍率でのセラミックスコーティングCC3を示す。
顕微鏡写真708は、浸食が発生するように物品が使用された後における1000倍の
倍率でのセラミックスコーティングCC3を示す。顕微鏡写真710は、浸食が発生する
ように物品が使用された後における4000倍の倍率でのセラミックスコーティングCC
3を示す。顕微鏡写真712は、浸食が発生するように物品が使用された後における10
000倍の倍率でのセラミックスコーティングCC3を示す。
図8は、CC1、CC2、CC3、及び対照群に対する正規化された浸食速度を示し、
ここでCC2は、最低の正規化された浸食速度を示している。
表3は、セラミックスコーティングCC1、CC2、CC3と、対照群のセラミックス
コーティングに対する浸食速度の比較を示し、概してCC2は、より低い浸食速度を示す

本明細書に記載のセラミックスコーティングは、他のセラミックスコーティングと比較
して、H化学下での高い耐食性、低い空孔率、耐食性における大幅な改善(例えば、H
Clバブル時間)、コーティングの表面粗さの減少、及び絶縁破壊電圧の上昇を提供する
前述の説明は、本発明のいくつかの実施形態の良好な理解を提供するために、具体的な
システム、構成要素、方法等の例などの多数の具体的な詳細を説明している。しかしなが
ら、本発明の少なくともいくつかの実施形態は、これらの具体的な詳細なしに実施するこ
とができることが当業者には明らかであろう。他の例では、周知の構成要素又は方法は、
本発明を不必要に不明瞭にしないために、詳細には説明しないか、単純なブロック図形式
で提示されている。したがって、説明された具体的な詳細は、単なる例示である。特定の
実装では、これらの例示的な詳細とは異なる場合があるが、依然として本発明の範囲内に
あることが理解される。
本明細書全体を通して「1つの実施形態」又は「一実施形態」への参照は、その実施形
態に関連して記載された特定の構成、構造、又は特性が少なくとも1つの実施形態に含ま
れることを意味している。したがって、本明細書を通じて様々な場所における「1つの実
施形態では」又は「一実施形態では」という語句の出現は、必ずしも全て同じ実施形態を
指すものではない。また、用語「又は」は、排他的な「又は」ではなく包含的な「又は」
を意味することを意図している。
本明細書内の本方法の操作が、特定の順序で図示され説明されているが、特定の操作を
逆の順序で行うように、又は特定の操作を少なくとも部分的に他の操作と同時に実行する
ように、各方法の操作の順序を変更することができる。別の一実施形態では、異なる操作
の命令又は副操作は、断続的及び/又は交互の方法とすることができる。
なお、上記の説明は例示であり、限定的ではないことを意図していることが理解される
べきである。上記の説明を読み理解することにより、多くの他の実施形態が当業者にとっ
て明らかとなるであろう。したがって、本発明の範囲は、添付の特許請求の範囲を、その
ような特許請求の範囲が権利を与える均等物の全範囲と共に参照して決定されるべきであ
る。

Claims (9)

  1. 物品の製造方法であって、
    本体を提供する工程と、
    47.7モル%〜57.7モル%の範囲内のYと、9モル%〜11モル%の範囲内のZrOと、33.3モル%〜40.7モル%の範囲内のAlを含むセラミックスコーティングで、本体の少なくとも1つの表面をコーティングする工程を含む方法。
  2. 物品の製造方法であって、
    本体を提供する工程と、
    36.9モル%〜45.1モル%の範囲内のYと、10.8モル%〜13.2モル%の範囲内のZrOと、42.3モル%〜51.7モル%の範囲内のAlを含むセラミックスコーティングで、本体の少なくとも1つの表面をコーティングする工程を含む方法。
  3. コーティングは、約5ミル〜約25ミル(約0.127mm〜約0.635mm)の厚さを有し、本体の少なくとも1つの表面をコーティングする工程は、少なくとも1つの表面上にセラミックスコーティングをプラズマ溶射する工程を含む、請求項1又は2記載の方法。
  4. 本体は、アルミニウム、銅、マグネシウムのうちの少なくとも1つを含む、請求項1又は2記載の方法。
  5. 本体は、セラミックスを含む、請求項1又は2記載の方法。
  6. 本体と、
    本体の表面上のセラミックスコーティングであって、セラミックスコーティングは、47.7モル%〜57.7モル%の範囲内のYと、9モル%〜11モル%の範囲内のZrOと、33.3モル%〜40.7モル%の範囲内のAlを含むセラミックスコーティングを含む物品。
  7. 本体と、
    本体の表面上のセラミックスコーティングであって、セラミックスコーティングは、36.9モル%〜45.1モル%の範囲内のYと、10.8モル%〜13.2モル%の範囲内のZrOと、42.3モル%〜51.7モル%の範囲内のAlを含むセラミックスコーティングを含む物品。
  8. セラミックスコーティングは、約5ミル〜約25ミル(約0.127mm〜約0.635mm)の厚さを有する、請求項6又は7記載の物品。
  9. 本体は、アルミニウム、銅、マグネシウムのうちの少なくとも1つを含む、請求項6又は7記載の物品。
JP2016244991A 2013-06-05 2016-12-19 半導体アプリケーション用希土類酸化物系耐食性コーティング Active JP6678098B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2017172741A JP6971726B2 (ja) 2013-06-05 2017-09-08 半導体アプリケーション用希土類酸化物系耐食性コーティング

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361831424P 2013-06-05 2013-06-05
US61/831,424 2013-06-05
US13/954,808 US9865434B2 (en) 2013-06-05 2013-07-30 Rare-earth oxide based erosion resistant coatings for semiconductor application
US13/954,808 2013-07-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2015559325A Division JP6064060B2 (ja) 2013-06-05 2014-05-20 半導体アプリケーション用希土類酸化物系耐食性コーティング

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2017172741A Division JP6971726B2 (ja) 2013-06-05 2017-09-08 半導体アプリケーション用希土類酸化物系耐食性コーティング

Publications (2)

Publication Number Publication Date
JP2017100938A JP2017100938A (ja) 2017-06-08
JP6678098B2 true JP6678098B2 (ja) 2020-04-08

Family

ID=52005692

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2015559325A Active JP6064060B2 (ja) 2013-06-05 2014-05-20 半導体アプリケーション用希土類酸化物系耐食性コーティング
JP2016244991A Active JP6678098B2 (ja) 2013-06-05 2016-12-19 半導体アプリケーション用希土類酸化物系耐食性コーティング
JP2017172741A Active JP6971726B2 (ja) 2013-06-05 2017-09-08 半導体アプリケーション用希土類酸化物系耐食性コーティング

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2015559325A Active JP6064060B2 (ja) 2013-06-05 2014-05-20 半導体アプリケーション用希土類酸化物系耐食性コーティング

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2017172741A Active JP6971726B2 (ja) 2013-06-05 2017-09-08 半導体アプリケーション用希土類酸化物系耐食性コーティング

Country Status (6)

Country Link
US (3) US9865434B2 (ja)
JP (3) JP6064060B2 (ja)
KR (4) KR20150115953A (ja)
CN (3) CN110194681B (ja)
TW (1) TWI601637B (ja)
WO (1) WO2014197203A1 (ja)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US9687953B2 (en) * 2014-06-27 2017-06-27 Applied Materials, Inc. Chamber components with polished internal apertures
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
FR3042137B1 (fr) * 2015-10-07 2017-12-01 Safran Piece de turbomachine revetue d'un revetement ceramique de protection, procede de fabrication et d'utilisation d'une telle piece
WO2017149205A1 (en) * 2016-03-04 2017-09-08 Beneq Oy A plasma etch-resistant film and a method for its fabrication
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
KR20190057753A (ko) * 2017-11-20 2019-05-29 (주)코미코 내플라즈마성 코팅막의 제조방법 및 이에 의해 형성된 내플라즈마성 부재
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US20200406222A1 (en) * 2018-03-08 2020-12-31 Beijing Naura Microelectronics Equipment Co., Ltd. Reaction chamber component, preparation method, and reaction chamber
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
CN109778102A (zh) * 2019-02-27 2019-05-21 中国科学院上海硅酸盐研究所 一种多层结构自修复热障涂层及其制备方法
CN111549310B (zh) * 2020-04-13 2021-01-15 南京深光科技有限公司 一种陶瓷粉体、掩膜版及其制作方法
CN114685147A (zh) 2020-12-28 2022-07-01 财团法人工业技术研究院 陶瓷材料与焊线瓷嘴
KR20220099004A (ko) * 2021-01-05 2022-07-12 삼성전자주식회사 웨이퍼 처리 장치
US11837448B2 (en) 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
CN113617345B (zh) * 2021-08-13 2023-07-28 厦门欧米克生物科技有限公司 一种催化剂及其制备方法和应用
KR102522277B1 (ko) 2022-03-24 2023-04-17 주식회사 펨빅스 내플라즈마 2층 코팅막 구조물 및 이의 제조 방법

Family Cites Families (208)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
US4642440A (en) 1984-11-13 1987-02-10 Schnackel Jay F Semi-transferred arc in a liquid stabilized plasma generator and method for utilizing the same
US4704299A (en) 1985-11-06 1987-11-03 Battelle Memorial Institute Process for low temperature curing of sol-gel thin films
CN1036286A (zh) 1988-02-24 1989-10-11 珀金·埃莱姆公司 超导陶瓷的次大气压等离子体喷涂
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
JPH05238855A (ja) 1992-02-28 1993-09-17 Tokyo Electric Power Co Inc:The セラミックコーティング部材の製造方法
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
GB9410567D0 (en) 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5679167A (en) 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
WO1996011288A1 (en) 1994-10-05 1996-04-18 United Technologies Corporation Multiple nanolayer coating system
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5626923A (en) 1995-09-19 1997-05-06 Mcdonnell Douglas Corporation Method of applying ceramic coating compositions to ceramic or metallic substrate
US5766693A (en) 1995-10-06 1998-06-16 Ford Global Technologies, Inc. Method of depositing composite metal coatings containing low friction oxides
US5939219A (en) 1995-10-12 1999-08-17 Siemens Aktiengesellschaft High-temperature fuel cell having at least one electrically insulating covering and method for producing a high-temperature fuel cell
KR100428428B1 (ko) 1996-04-12 2004-04-28 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마 처리장치
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
DE69717805T2 (de) * 1997-07-18 2003-09-04 Ansaldo Ricerche Srl Verfahren und Vorrichtung zur Herstellung von porösen keramischen Beschichtungen, insbesondere wärmedämmende Beschichtungen, auf metallische Substrate
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
JP2000001362A (ja) 1998-06-10 2000-01-07 Nippon Seratekku:Kk 耐食性セラミックス材料
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
CA2297543A1 (en) 1999-03-10 2000-09-10 Sulzer Metco Ag Method for the production of a coated structure which is suitable for carrying out heterogeneous catalyses
JP4544700B2 (ja) * 1999-07-29 2010-09-15 京セラ株式会社 真空容器及びその製造方法
ATE491825T1 (de) 1999-09-29 2011-01-15 Tokyo Electron Ltd Mehrzonenwiderstandsheizung
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP4548887B2 (ja) 1999-12-27 2010-09-22 京セラ株式会社 耐食性セラミック部材およびその製造方法
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
US6891263B2 (en) 2000-02-07 2005-05-10 Ibiden Co., Ltd. Ceramic substrate for a semiconductor production/inspection device
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
JP4540221B2 (ja) 2000-04-21 2010-09-08 日本碍子株式会社 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
CA2306941A1 (en) 2000-04-27 2001-10-27 Standard Aero Ltd. Multilayer thermal barrier coatings
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
MXPA02010720A (es) 2000-05-02 2004-07-30 Univ Johns Hopkins Hojas reactivas de capas multiples, autoestables.
DE10024137A1 (de) 2000-05-18 2001-11-22 Gert Schlueter Probenzylinder, insbesondere mit einer Filtriervorrichtung für die Wiedergewinnung von Zellmaterial aus Körperflüssigkeiten
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
EP1642994B8 (en) 2000-06-29 2017-04-19 Shin-Etsu Chemical Co., Ltd. Rare earth oxid powder used in thermal spray coating
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP4688307B2 (ja) 2000-07-11 2011-05-25 コバレントマテリアル株式会社 半導体製造装置用耐プラズマ性部材
US6479108B2 (en) 2000-11-15 2002-11-12 G.T. Equipment Technologies, Inc. Protective layer for quartz crucibles used for silicon crystallization
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US6915964B2 (en) 2001-04-24 2005-07-12 Innovative Technology, Inc. System and process for solid-state deposition and consolidation of high velocity powder particles using thermal plastic deformation
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
JP2003031535A (ja) * 2001-07-11 2003-01-31 Mitsubishi Electric Corp 半導体製造装置の超音波洗浄方法
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
JP4277973B2 (ja) * 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
BR0211578A (pt) * 2001-08-02 2006-04-04 3M Innovative Properties Co vidro, cerámica, métodos para a fabricação de um vidro, de uma cerámica, e de um artigo compreendendo vidro, vidro-cerámica, métodos para a fabricação de um vidro-cerámica, e de um artigo de vidro-cerámica, partìcula abrasiva, método para a fabricação de partìculas abrasivas, pluralidade de partìculas abrasivas, artigo abrasivo, e, método para desbastar uma superfìcie
JP5148807B2 (ja) 2001-08-02 2013-02-20 スリーエム イノベイティブ プロパティズ カンパニー Al2O3−希土類酸化物−ZrO2/HfO2材料およびその製造方法ならびに使用方法
CA2455952A1 (en) 2001-08-02 2003-02-13 3M Innovative Properties Company Abrasive particles and methods of making and using the same
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP5132859B2 (ja) 2001-08-24 2013-01-30 ステラケミファ株式会社 多成分を有するガラス基板用の微細加工表面処理液
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
JP2003212598A (ja) 2001-11-13 2003-07-30 Tosoh Corp 石英ガラス部品及びセラミック部品並びにそれらの製造方法
EP1310466A3 (en) 2001-11-13 2003-10-22 Tosoh Corporation Quartz glass parts, ceramic parts and process of producing those
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6592948B1 (en) 2002-01-11 2003-07-15 General Electric Company Method for masking selected regions of a substrate
JP2003238250A (ja) 2002-02-12 2003-08-27 Yotai Refractories Co Ltd イットリア質耐火物
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
JP4153708B2 (ja) 2002-03-12 2008-09-24 東京エレクトロン株式会社 エッチング方法
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
US20030232139A1 (en) 2002-06-13 2003-12-18 Detura Frank Anthony Shield and method for spraying coating on a surface
ES2282665T3 (es) * 2002-06-14 2007-10-16 Technische Universitat Dresden Procedimiento para la produccion de uniones, estancas a los gases y resistentes a las altas temperaturas, de piezas moldeadas a base de un material ceramico no oxidico por medio de un laser.
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
KR101168422B1 (ko) 2002-11-20 2012-07-25 신에쓰 가가꾸 고교 가부시끼가이샤 내열성 피복 부재의 제조 방법
JP4208580B2 (ja) 2003-01-15 2009-01-14 日本碍子株式会社 複合焼結体およびその製造方法
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
US6753269B1 (en) 2003-05-08 2004-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for low k dielectric deposition
JP2004332081A (ja) 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
US7510641B2 (en) 2003-07-21 2009-03-31 Los Alamos National Security, Llc High current density electropolishing in the preparation of highly smooth substrate tapes for coated conductors
US7658816B2 (en) 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US20050056056A1 (en) 2003-09-16 2005-03-17 Wong Marvin Glenn Healing micro cracks in a substrate
EP1589567B1 (en) 2003-09-16 2007-04-04 Shin-Etsu Quartz Products Co., Ltd. Member for plasma etching device and method for manufacture thereof
EP1524682B1 (en) 2003-10-17 2011-10-05 Tosoh Corporation Component for vacuum apparatus, production method thereof and apparatus using the same
EP1690845A4 (en) 2003-10-31 2009-04-01 Tokuyama Corp ASSEMBLED ARTICLE BASED ON ALUMINUM NITRIDE AND PROCESS FOR PRODUCING THE SAME
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
JP4429742B2 (ja) 2004-01-21 2010-03-10 住友大阪セメント株式会社 焼結体及びその製造方法
JP4606121B2 (ja) * 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050199183A1 (en) * 2004-03-09 2005-09-15 Masatsugu Arai Plasma processing apparatus
JP4443976B2 (ja) 2004-03-30 2010-03-31 忠弘 大見 セラミックスの洗浄方法および高清浄性セラミックス
US7334330B2 (en) 2004-04-28 2008-02-26 Siemens Power Generation, Inc. Thermally insulating layer incorporating a distinguishing agent and method for inspecting the same
KR100588475B1 (ko) 2004-06-07 2006-06-09 한국화학연구원 폴리실록산계 화합물을 포함하는 고체 고분자 전해질 조성물
US7618769B2 (en) 2004-06-07 2009-11-17 Applied Materials, Inc. Textured chamber surface
JP2006027012A (ja) 2004-07-14 2006-02-02 Pioneer Electronic Corp 脱泡方法及びこれを用いた脱泡装置
US20060068189A1 (en) 2004-09-27 2006-03-30 Derek Raybould Method of forming stabilized plasma-sprayed thermal barrier coatings
EP1805817B1 (en) 2004-10-01 2016-11-16 American Superconductor Corporation Thick superconductor films with improved performance
US8058186B2 (en) 2004-11-10 2011-11-15 Tokyo Electron Limited Components for substrate processing apparatus and manufacturing method thereof
JP2006207012A (ja) 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
JP2006199545A (ja) * 2005-01-21 2006-08-03 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US20060222777A1 (en) 2005-04-05 2006-10-05 General Electric Company Method for applying a plasma sprayed coating using liquid injection
US7976768B2 (en) 2005-05-31 2011-07-12 Corning Incorporated Aluminum titanate ceramic forming batch mixtures and green bodies including pore former combinations and methods of manufacturing and firing same
GB2423079B (en) 2005-06-29 2008-11-12 Tetronics Ltd Waste treatment process and apparatus
JP4813115B2 (ja) 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
EP2071049A1 (en) 2005-07-29 2009-06-17 Tocalo Co. Ltd. Y2O3 Spray-coated member and production method thereof
JP2007126712A (ja) 2005-11-02 2007-05-24 Fujimi Inc 溶射用粉末及び溶射皮膜の形成方法
TWI329136B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US8290433B2 (en) 2007-11-14 2012-10-16 Blaze Mobile, Inc. Method and system for securing transactions made through a mobile communication device
US7622195B2 (en) 2006-01-10 2009-11-24 United Technologies Corporation Thermal barrier coating compositions, processes for applying same and articles coated with same
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
JP4398436B2 (ja) 2006-02-20 2010-01-13 トーカロ株式会社 熱放射特性等に優れるセラミック溶射皮膜被覆部材およびその製造方法
US7648782B2 (en) 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
US20070264155A1 (en) 2006-05-09 2007-11-15 Brady Michael D Aerosol jet deposition method and system for creating a reference region/sample region on a biosensor
WO2007132028A1 (es) 2006-05-12 2007-11-22 Fundacion Inasmet Procedimiento de obtención de recubrimientos cerámicos y recubrimientos cerámicos obtenidos
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US20080090034A1 (en) 2006-09-18 2008-04-17 Harrison Daniel J Colored glass frit
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US7919722B2 (en) 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US7862901B2 (en) 2006-12-15 2011-01-04 General Electric Company Yttria containing thermal barrier coating topcoat layer and method for applying the coating layer
US7983017B2 (en) 2006-12-26 2011-07-19 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method of forming
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
CN104031632A (zh) 2007-03-12 2014-09-10 圣戈本陶瓷及塑料股份有限公司 高强度陶瓷元件及其制造方法和使用方法
JP4936948B2 (ja) 2007-03-27 2012-05-23 日本碍子株式会社 複合材料及びその製造方法
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TWI744898B (zh) * 2007-04-27 2021-11-01 美商應用材料股份有限公司 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP5047741B2 (ja) 2007-09-13 2012-10-10 コバレントマテリアル株式会社 耐プラズマ性セラミックス溶射膜
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
CA2658210A1 (en) 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
US8546284B2 (en) 2008-05-07 2013-10-01 Council Of Scientific & Industrial Research Process for the production of plasma sprayable yttria stabilized zirconia (YSZ) and plasma sprayable YSZ powder produced thereby
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US20090297718A1 (en) 2008-05-29 2009-12-03 General Electric Company Methods of fabricating environmental barrier coatings for silicon based substrates
KR20100005250A (ko) 2008-06-27 2010-01-15 이호영 히트펌프식 냉난방 및 냉온수 공급 시스템
WO2010019829A1 (en) * 2008-08-13 2010-02-18 Robert Stancel Impact resistant thin-glass solar modules
JP5537001B2 (ja) 2008-08-20 2014-07-02 株式会社アルバック 表面処理セラミックス部材、その製造方法および真空処理装置
US7929269B2 (en) 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
EP2346515A4 (en) * 2008-09-25 2013-01-23 Invivo Therapeutics Corp SPINAL CORD INJURY, INFLAMMATION AND IMMUNE DISEASE: CONTROLLED LOCAL RELEASE OF THERAPEUTIC AGENTS
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
JP5545792B2 (ja) 2008-10-31 2014-07-09 株式会社日本セラテック 耐食性部材
WO2010053687A2 (en) 2008-11-04 2010-05-14 Praxair Technology, Inc. Thermal spray coatings for semiconductor applications
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) * 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
CN102348643A (zh) 2009-01-22 2012-02-08 3M创新有限公司 表面改性的氧化锆纳米粒子
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
CA2750789C (en) 2009-02-05 2018-12-04 Sulzer Metco Ag Plasma coating system and method for coating or treating the surface of a substrate
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US8444737B2 (en) 2009-02-27 2013-05-21 Corning Incorporated Ceramic structures and methods of making ceramic structures
JP5001323B2 (ja) 2009-03-27 2012-08-15 トーカロ株式会社 白色酸化イットリウム溶射皮膜表面の改質方法および酸化イットリウム溶射皮膜被覆部材
CN102428212B (zh) 2009-05-08 2014-04-02 有限会社渊田纳米技研 氧化锆膜的成膜方法
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
JP2011002365A (ja) 2009-06-19 2011-01-06 Panasonic Electric Works Denro Co Ltd 電力監視システム
CN101992244A (zh) * 2009-08-13 2011-03-30 深圳富泰宏精密工业有限公司 金属高温成型模具及其制造方法
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
CN102822115B (zh) 2010-03-30 2017-06-27 日本碍子株式会社 半导体制造装置用耐腐蚀性构件及其制法
KR101221925B1 (ko) 2010-04-22 2013-01-14 한국세라믹기술원 플라즈마 저항성 세라믹 피막 및 그 제조 방법
WO2011150311A1 (en) 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
WO2012005977A1 (en) 2010-06-29 2012-01-12 Los Alamos National Security, Llc Solution deposition planarization method
US20120196139A1 (en) * 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US20120100299A1 (en) 2010-10-25 2012-04-26 United Technologies Corporation Thermal spray coating process for compressor shafts
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP2014522572A (ja) 2011-06-02 2014-09-04 アプライド マテリアルズ インコーポレイテッド 静電チャックの窒化アルミ誘電体の修復方法
KR101094725B1 (ko) 2011-06-24 2011-12-16 주식회사 펨빅스 산화이트륨 코팅막 및 산화이트륨 코팅방법
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
ZA201202480B (en) 2011-10-17 2012-11-28 Int Advanced Res Centre For Power Metallurgy And New Mat (Arci) Dept Of Science And Tech Govt Of Ind An improved hybrid methodology for producing composite,multi-layered and graded coatings by plasma spraying utitilizing powder and solution precurrsor feedstock
JP5496992B2 (ja) 2011-12-13 2014-05-21 中国電力株式会社 プラズマ溶射装置及びその制御方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application

Also Published As

Publication number Publication date
US20140363596A1 (en) 2014-12-11
CN107546136B (zh) 2020-12-04
KR20200038556A (ko) 2020-04-13
TW201511942A (zh) 2015-04-01
US20150270108A1 (en) 2015-09-24
US20180102237A1 (en) 2018-04-12
CN107546136A (zh) 2018-01-05
CN110194681B (zh) 2023-04-18
KR20150115953A (ko) 2015-10-14
KR102213756B1 (ko) 2021-02-05
JP6064060B2 (ja) 2017-01-18
KR20150122736A (ko) 2015-11-02
JP2017100938A (ja) 2017-06-08
KR20170102037A (ko) 2017-09-06
US9865434B2 (en) 2018-01-09
CN110194681A (zh) 2019-09-03
US10734202B2 (en) 2020-08-04
KR102098926B1 (ko) 2020-04-08
KR101773510B1 (ko) 2017-08-31
JP2016516887A (ja) 2016-06-09
CN105074889B (zh) 2019-07-12
JP2018040058A (ja) 2018-03-15
JP6971726B2 (ja) 2021-11-24
CN105074889A (zh) 2015-11-18
TWI601637B (zh) 2017-10-11
WO2014197203A1 (en) 2014-12-11

Similar Documents

Publication Publication Date Title
JP6678098B2 (ja) 半導体アプリケーション用希土類酸化物系耐食性コーティング
US9090046B2 (en) Ceramic coated article and process for applying ceramic coating
US20130273313A1 (en) Ceramic coated ring and process for applying ceramic coating
JP6640250B2 (ja) 高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料
JP6749238B2 (ja) プラズマ火炎熱処理を用いたプラズマ溶射コーティングの強化
JP6526729B2 (ja) 希土類酸化物系モノリシックチャンバ材料
US9394615B2 (en) Plasma resistant ceramic coated conductive article
JP2015522710A (ja) 重要チャンバコンポーネント用プラズマ溶射プロセスの強化

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170420

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180409

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180424

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180720

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180921

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181024

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20190402

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190802

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20190802

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20190814

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20190820

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200205

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200218

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200316

R150 Certificate of patent or registration of utility model

Ref document number: 6678098

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250