CN110194681B - 制造制品的方法 - Google Patents

制造制品的方法 Download PDF

Info

Publication number
CN110194681B
CN110194681B CN201910520900.1A CN201910520900A CN110194681B CN 110194681 B CN110194681 B CN 110194681B CN 201910520900 A CN201910520900 A CN 201910520900A CN 110194681 B CN110194681 B CN 110194681B
Authority
CN
China
Prior art keywords
article
ceramic coating
mol
ceramic
surface area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910520900.1A
Other languages
English (en)
Other versions
CN110194681A (zh
Inventor
J·Y·孙
B·P·卡农戈
T·赵
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN110194681A publication Critical patent/CN110194681A/zh
Application granted granted Critical
Publication of CN110194681B publication Critical patent/CN110194681B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/4807Ceramic parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5045Rare-earth oxides
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/87Ceramics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • Y10T428/131Glass, ceramic, or sintered, fused, fired, or calcined metal oxide or metal carbide containing [e.g., porcelain, brick, cement, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension

Abstract

一种制造制品的方法,包括:提供体;以及以陶瓷涂层涂覆所述体的至少一个表面,所述陶瓷涂层包括:在约45摩尔%到约99摩尔%之间的范围内的Y2O3、在从约0摩尔%到约55摩尔%的范围内的ZrO2、以及在从约0摩尔%到约10摩尔%的范围内的Al2O3

Description

制造制品的方法
本申请是申请日为“2014年5月20日”、申请号为“201480017514.6”、题为“用于半导体应用的稀土氧化物基抗腐蚀涂层”的分案申请。
技术领域
本发明的实施例总体上涉及涂覆陶瓷的制品以及用于将陶瓷涂层施加于基板的工艺。
背景技术
在半导体工业中,器件是由许多制造工艺制造的,这些制造工艺生产尺寸不断减小的结构。一些制造工艺(诸如,等离子体蚀刻和等离子体清洁工艺)使基板暴露于高速的等离子体流来蚀刻或清洁基板。等离子体可能是高度腐蚀性的,并且可能会腐蚀被暴露于该等离子体的处理腔室和其他表面。这种腐蚀可能会产生粒子,这些粒子频繁地污染正在被处理的基板,从而导致器件缺陷。
随着器件的几何形状收缩,对缺陷的敏感性提高,并且粒子污染要求(即,晶圆上的性能)变得更加严格。为了使由等离子体蚀刻和/或等离子体清洁工艺引进的粒子污染最小化,已开发了耐等离子体的腔室材料。这种耐等离子体材料的示例包括由Al2O3、AlN、SiC、Y2O3、石英及ZrO2组成的陶瓷。不同的陶瓷提供不同的材料特性,诸如等离子体抗性、刚性、弯曲强度、热冲击抗性等等。此外,不同的陶瓷具有不同的材料成本。因此,一些陶瓷具有优异的等离子体抗性,其他陶瓷具有较低的成本,而另一些陶瓷具有优异的弯曲强度和/或热冲击抗性。
发明内容
在一个实施例中,通过提供体并且以陶瓷涂层涂覆该体的至少一个表面来制造制品,该陶瓷涂层包括:在约45摩尔%到约99摩尔%之间的范围内的Y2O3、在从约0摩尔%到约55摩尔%的范围内的ZrO2、以及在从约0摩尔%到约10摩尔%的范围内的Al2O3
附图说明
在所附附图的多个图中,通过示例方式而非通过限制方式来说明本发明,在多个图中,类似的附图标记指示类似的元件。应当指出的是,在本公开中对“一”或“一个”实施例的不同引用并不一定是引用相同的实施例,并且这样的引用意指引用至少一个实施例。
图1图示根据本发明的一个实施例的制造系统的示例性架构;
图2是示出根据本发明的实施例的用于制造具有陶瓷涂层的制品的过程的流程图;
图3示出根据本发明的实施例的在制造过程的不同阶段期间的制品的剖面侧视图;
图4示出根据本发明的实施例的涂层的俯视及剖面显微照片视图;
图5示出根据本发明的实施例的在各种放大倍率水平下的陶瓷涂层表面的显微照片。
图6示出根据本发明的实施例的在各种放大倍率水平下的陶瓷涂层表面的显微照片。
图7示出根据本发明的实施例的在各种放大倍率水平下的陶瓷涂层表面的显微照片。
图8示出根据本发明的实施例的陶瓷涂层的归一化的腐蚀速率。
具体实施方式
本发明的实施例涉及用于以陶瓷涂层来涂覆基板或体的工艺,并且涉及使用此类涂覆工艺制成的制品(例如,用于等离子体蚀刻反应器的盖体、喷头、腔室衬里等)。在一个实施例中,制品包括涂覆有陶瓷涂层的体,该陶瓷涂层包括:摩尔浓度在约45摩尔%到约100摩尔%之间的范围内的Y2O3、摩尔浓度在约0摩尔%到约55摩尔%之间的范围内的ZrO2、以及摩尔浓度在约0摩尔%到约10摩尔%之间的范围内的Al2O3。在一个实施例中,制品包括涂覆有陶瓷涂层的体,该陶瓷涂层包括:在约30摩尔%到约60摩尔%之间的范围内的Y2O3、在约0摩尔%到约20摩尔%之间的范围内的ZrO2、以及在约30摩尔%到约60摩尔%之间的范围内的Al2O3。在一个示例中,该涂层可以具有在约5mil与约25mil之间的厚度。
制品的体可以包括金属,例如,铝、铜或镁,仅举数例。或者,制品的体可以包括陶瓷,例如,Al2O3、AlN等等。在一些实施例中,在涂覆之前,可使制品的表面粗糙化为约100微英寸与约300微英寸之间的粗糙度,和/或将制品的表面加热到约70摄氏度到约200摄氏度的温度。
制品的陶瓷涂层可以是高度抗等离子体蚀刻的,并且制品可以具有优异的机械特性,例如,高弯曲强度和/或高热冲击抗性。例如,Al2O3具有高的热-机械强度,但还具有相对较高的铝污染水平和低等离子体抗性。相比之下,含Y2O3的陶瓷具有增强的等离子体抗性和低的晶圆上等级的铝污染,但具有相对低的热-机械强度。因此,制品可以具有第一陶瓷物质(例如,Al2O3)的有利特性和第二陶瓷物质(例如,含Y2O3的陶瓷)的有利特性,而没有任一陶瓷物质的弱点。
所涂覆的陶瓷制品的性能特性可以包括相对较高的热能力(例如,经受高达约150℃的操作温度的能力)、相对较长的寿命(例如,当用于等离子体环境时,超过约2年)、低的晶圆上粒子和金属污染、以及稳定的静电卡盘(ESC)漏电流性能(例如,当制品为ESC时)。
例如,导体盖体是在半导体制造中用于高温应用的组件,在这些高温应用中,形成Al2O3的盖体提供了高的导热率和弯曲强度。然而,在氟化学条件下,被暴露的Al2O3在晶圆上形成AlF粒子以及Al金属污染。在盖体的面向等离子体一侧的、根据一个实施例的陶瓷涂层可以显著地减少腐蚀,并减少Al金属污染。
在另一个示例中,在半导体制造腔室中使用的电介质喷头可以由接合到SiC面板的阳极化铝基形成。SiC面板可能具有影响晶圆蚀刻均匀性的高腐蚀速率。另外,由于等离子体暴露,面板向阳极化Al基的接合可能受损,使得面板被不均匀地接合到阳极化Al基,从而降低了喷头的热均匀性。根据一个实施例的陶瓷涂层可以被直接施加在裸Al基上,以改善接合与腐蚀困难。
在另一个示例中,半导体制造腔室衬里(例如,腔室衬里配件)可以由Al基板与阳极化Al形成,该Al基板在等离子体暴露的一侧上涂覆有根据一个实施例的陶瓷涂层,该阳极化Al在非等离子体暴露的一侧。结果,基于涂层孔隙率水平,陶瓷涂层可以改善晶圆上性能并放宽清洁窗口。
当在本文中使用术语“约”和“大约”时,意指所呈现的标称值在±10%以内是精确的。还需要注意的是,在本文中参照用于半导体制造的等离子体蚀刻机中所使用的导电盖体(lid)、电介质喷头和腔室衬里来描述一些实施例。然而,应当理解的是,这样的等离子体蚀刻机也可用于制造微机电系统(MEMS)器件。此外,本文所述的陶瓷制品可以是暴露于等离子体的其他结构。例如,陶瓷制品可以是等离子体蚀刻机、等离子体清洁机、等离子体推进系统等的陶瓷环、壁、基座、气体分配板、喷头、基板支撑架等。
此外,在本文中参照当在用于富等离子体工艺的腔室中使用时导致减少的粒子污染的制品来描述数个实施例。然而,应当理解的是,本文中所讨论的制品当在用于例如以下工艺之类的其他工艺处理腔室中使用时,也可以提供减少的粒子缺陷和金属污染:等离子体增强化学气相沉积(PECVD)腔室、等离子体增强物理气相沉积(PEPVD)腔室和等离子体增强原子层沉积(PEALD)腔室、以及非等离子体蚀刻机、非等离子体清洁机、化学气相沉积(CVD)炉、物理气相沉积(PVD)炉等等。
图1图示根据本发明的实施例的制造系统100的示例性架构。制造系统100可以是陶瓷制造系统。在一个实施例中,制造系统100包括连接到设备自动化层115的处理设备101。处理设备101可以包括爆珠机(bead blaster)102、一个或更多个湿法清洁机103、陶瓷涂覆机104和/或一个或多个研磨机105。制造系统100可以进一步包括连接到设备自动化层115的一个或多个计算设备120。在替代的实施例中,制造系统100可以包括更多或更少的组件。例如,制造系统100可以包括手工操作的(例如,离线的)处理设备101而不具有设备自动化层115或计算设备120。
爆珠机102是配置成用于使制品(例如,用于半导体制造腔室中的组件)的表面粗糙化的机器。爆珠机102可以是爆珠机柜、手持式爆珠机或其他类型的爆珠机。爆珠机102可以通过利用珠状物或粒子轰击制品来使制品粗糙化。在一个实施例中,爆珠机102将陶瓷珠或粒子射击在制品上。由爆珠机102实现的粗糙度可以基于用于射击珠状物的力、珠状物材料、珠状物尺寸和/或处理的持续时间。在一个实施例中,爆珠机使用一定范围的珠状物尺寸来使制品粗糙化。
在替代的实施例中,可以使用除了爆珠机102之外的其他类型的表面粗糙化机。例如,可以使用机动研磨垫来使制品的表面粗糙化。当将研磨垫压在制品的表面时,磨砂机可转动或振动该研磨垫。由研磨垫实现的粗糙度可以取决于所施加的压力、振动或转动速率和/或研磨垫的粗糙度。
湿法清洁机103是使用湿法清洁工艺来清洁制品(例如,用于半导体制造的制品)的清洁装置。湿法清洁机103包括填充有液体的湿浴,制品被浸在湿浴中以清洁该制品。湿法清洁机103可以在清洁期间使用超声波来搅动湿浴以改善清洁效率。在本文中将这个过程称为对湿浴进行声波处理。
在一个实施例中,湿法清洁机103包括第一湿法清洁机和第二湿法清洁机,第一湿法清洁机使用去离子(DI)水浴来清洁制品,第二湿法清洁机使用丙酮浴来清洁制品。在清洁工艺期间,两个湿法清洁机103都可对浴进行声波处理。湿法清洁机103可以在处理期间的多个阶段清洁制品。例如,在制品已经被粗糙化之后,在陶瓷涂层已被施加到制品上之后,在制品已被用于处理之后等等时刻,湿法清洁机103都可以清洁制品。
在其他实施例中,可以使用替代类型的清洁机(例如,干法清洁机)来清洁制品。干法清洁机可以通过施加热、通过施加气体、通过施加等离子体等等来清洁制品。
陶瓷涂覆机104是配置成用于将陶瓷涂层施加于半导体制造中使用的基板或制品的表面的机器。在一个实施例中,陶瓷涂覆机104是将陶瓷涂层等离子体喷涂到制品上的等离子体喷涂机。
在替代的实施例中,陶瓷涂覆机104可以应用其他的热喷涂技术,例如,可以使用爆震(detonation)喷涂、线电弧喷涂、高速氧燃料(HVOF)喷涂、火焰喷涂、暖喷涂及冷喷涂。此外,陶瓷涂覆机104可以执行其他涂覆工艺,例如,可以使用气溶胶沉积、电镀、物理气相沉积(PVD)、离子辅助沉积(IAD)及化学气相沉积(CVD)来形成陶瓷涂层。
研磨机105是具有研磨盘的机器,该研磨盘研磨和/或抛光制品的表面。研磨机105可以包括抛光/研磨系统,例如,粗磨光站、化学机械平坦化(CMP)设备等等。研磨机105可以包括支撑制品的板以及在旋转时挤压制品的研磨盘或抛光垫。这些研磨机105研磨陶瓷涂层的表面,以减少陶瓷涂层的粗糙度和/或减少陶瓷涂层的厚度。研磨机105可以在多个步骤中研磨/抛光陶瓷涂层,其中,每个步骤使用具有略微不同的粗糙度和/或不同浆料的研磨垫(例如,如果使用CMP)。例如,具有高粗糙度的第一研磨垫可被用于将陶瓷涂层快速研磨到所需的厚度,而具有低粗糙度的第二研磨垫可被用于将陶瓷涂层抛光到所需的粗糙度。在示例中,在衬里配件上的陶瓷涂层的厚度可以是约8-12mil(密耳),并具有约180-250μin(微英寸)的涂层粗糙度。在另一个示例中,在盖体上的陶瓷涂层的厚度可以是约8-10mil,并具有约6-12μin的涂层粗糙度。在又一个实施例中,用于喷头的陶瓷涂层的厚度为约25mil,并具有约180-250μin的涂层粗糙度。在一个实施例中,陶瓷涂层具有约8-12mil(千分之一英寸)的抛光后厚度以及约6-12μin的抛光后粗糙度。
研磨机105可以另外包括以某角度研磨陶瓷涂层的角研磨机。角研磨机具有与制品保持某角度的研磨盘或垫。角研磨机可以修整陶瓷涂层,并在陶瓷涂层与制品之间产生倒角、圆边或其他倾斜过渡。
设备自动化层115可以将制造机器101中的一些或全部与计算设备120、与其他制造机器、与计量工具和/或其他设备互连。设备自动化层115可以包括网络(例如,局域网(LAN))、路由器、网关、服务器、数据储存设备等等。制造机器101可以经由SEMI设备通信标准/通用设备模型(SECS/GEM)接口、经由以太网接口和/或经由其他接口连接到设备自动化层115。在一个实施例中,设备自动化层115使工艺数据(例如,在工艺运行期间由制造机器101收集的数据)被储存在数据储存设备(未示出)中。在替代的实施例中,计算设备120直接连接到制造机器101中的一个或多个。
在一个实施例中,一些或全部的制造机器101包括可以加载、储存和执行工艺制作方法(recipe)的可编程控制器。可编程控制器可以控制制造机器101的温度设置、气体和/或真空设置、时间设置等。可编程控制器可以包括主存储器(例如,只读存储器(ROM)、闪存、动态随机存取存储器(DRAM)、静态随机存取存储器(SRAM)等)、和/或次级存储器(例如,数据储存设备,诸如,盘驱动器)。主存储器和/或次级存储器可以储存用于执行本文中所述的热处理工艺的指令。
可编程控制器还可以包括用于执行指令的处理设备,该处理设备(例如,经由总线)耦合到主存储器和/或副存储器。该处理设备可以是通用处理设备,例如,微处理器、中央处理单元等。该处理设备也可以是专用处理设备,例如,专用集成电路(ASIC)、现场可编程门阵列(FPGA)、数字信号处理器(DSP)、网络处理器等。在一个实施例中,可编程控制器是可编程逻辑控制器(PLC)。
在一个实施例中,对制造机器101编程来执行制作方法,这些制作方法将使制造机器使制品粗糙化、涂覆制品和/或机械加工(例如,研磨或抛光)制品。在一个实施例中,对制造机器101编程来执行制作方法,这些制作方法执行如参照图2所述的用于制造涂覆陶瓷的制品的多步骤过程的操作。
图2是示出根据本发明的实施例的用于制造涂覆陶瓷的制品的过程200的流程图。过程200的数个操作可以由图1中所陈述的各种制造机器来执行。
在框202处,提供制品。例如,制品可以是用于由导电材料制成的半导体制造腔室的盖体,例如,Al2O3。在另一个示例中,制品可以是半导体制造腔室中使用的喷头(例如,电介质喷头),该喷头由接合到SiC面板的阳极化铝基物形成。在又一个示例中,制品可以是由Al形成的腔室衬里(例如,用于半导体制造腔室的腔室衬里配件)。
制品可以由陶瓷块形成,陶瓷块例如,Y2O3(三氧化二钇)、Y4Al2O9(YAM)、Al2O3(氧化铝)、Y3Al5O12(YAG)、石英、YAlO3(YAP)、SiC(碳化硅)、Si3N4(氮化硅)、AlN(氮化铝)、ZrO2(氧化锆)、AlON(氧氮化铝)、TiO2(二氧化钛)、TiC(碳化钛)、ZrC(碳化锆)、TiN(氮化钛)、TiCN(碳氮化钛)、Y2O3稳定的ZrO2(YSZ)等等。或者,制品可以由金属形成,金属例如,可以被阳极化或可不被阳极化的铝、铜、镁等等。制品也可以是陶瓷复合物,例如,Al2O3-YAG陶瓷复合物或SiC-Si3N4陶瓷复合物。制品也可以是包括含氧化钇(也称为三氧化二钇或Y2O3)的固溶体的陶瓷复合物。例如,制品可以是由化合物Y4Al2O9(YAM)和固溶体Y2-xZrxO3(Y2O3-ZrO2固溶体)组成的高性能材料(HPM)。需注意的是,纯氧化钇以及含氧化钇的固溶体可以掺杂有ZrO2、Al2O3、SiO2、B2O3、Er2O3、Gd2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或其他氧化物中的一者或多者。在一个实施例中,制品是可能已经基于陶瓷烧结而形成的Al2O3块。
在框204处,掩模所提供的制品以覆盖将不被粗糙化的部分或区域。可掩模最终将不被涂覆陶瓷涂层的任何区域。然而,在一个实施例中,使用硬掩模(例如,金属掩模)来掩模该区域。在一个实施例中,不掩模制品。
在框206处,由爆珠机(或其他陶瓷粗糙化机)使制品粗糙化。在一个实施例中,爆珠机使用陶瓷珠来击打制品的表面。陶瓷珠可以具有大约0.2-2mm的珠尺寸。在一个实施例中,陶瓷珠具有大约0.2-2mm的尺寸范围。爆珠机能以大约30-90psi的气压和大约50-150mm的工作距离来珠击制品,并且对体的击打角度应当约为或略小于90度。爆珠机可以使制品体的被暴露部分(未被掩模覆盖的那些部分)粗糙化。
在一个实施例中,经处理的制品在陶瓷基板上具有约140-240μin的击打后粗糙度,并且在金属基板上具有约120-180μin的击打后粗糙度。将制品粗糙化到最优粗糙度可以改善陶瓷涂层对制品的粘附强度。然而,在一个实施例中,不使制品粗糙化。
在框208处,清洁制品。可使用一个或多个湿法清洁机来清洁制品。每一个湿法清洁机可包含具有各种液体的一个或更多个湿浴,液体例如,去离子(DI)水和丙酮。在一个实施例中,第一湿法清洁机执行清洁制作方法,该清洁制作方法在DI水浴中清洁制品达多至10分钟,同时以高达100%的频率和功率(例如,约20kW)以超声波方式搅动DI水浴。在一个实施例中,第二湿法清洁机执行清洁制作方法,该清洁制作方法在丙酮浴中清洁制品达多至10分钟,同时使用高达100%的频率和功率(例如,约20kW)以超声波方式搅动丙酮浴。然后,可以使用相同或不同的处理参数,再次利用第一湿法清洁机来清洁制品。这可以去除由丙酮浴造成的任何残留物,并且可以进一步去除粒子。在一个实施例中,由两个湿法清洁机经过多次来清洁制品。例如,制品可以在DI浴中清洁,然后在丙酮浴中清洁,然后在DI浴中清洁,然后在丙酮浴中清洁,然后在DI浴中清洁。
在框212处,制品被涂覆陶瓷涂层。可涂覆被暴露于等离子体环境的制品的一侧。在一个实施例中,使用等离子体喷涂机来将陶瓷涂层等离子体喷涂到制品上。在一个实施例中,在涂覆之前,掩模将不被涂覆的制品的部分。
将混合的原始陶瓷粉末喷涂到制品上。在等离子体喷涂期间,制品可以被加热到约50-70℃的温度。在一个实施例中,使用约35-36.5瓦特(W)的等离子体功率来等离子体喷涂制品,但是也可以使用其他的等离子体功率。等离子体喷涂工艺可以在多遍的喷涂中执行。在一个实施例中,应用约35-40遍的喷涂来形成陶瓷涂层。在一个示例中,涂层可以具有约5-50mil的厚度。
在一个实施例中,陶瓷涂层是使用热喷涂技术(例如,等离子体喷涂技术)沉积在陶瓷体上的含氧化钇的陶瓷或其他含钇氧化物。热喷涂技术(例如,等离子体喷涂技术)可以熔化材料(例如,陶瓷粉末)并将熔化的材料喷涂到制品上。经热喷涂或经等离子体喷涂的陶瓷涂层可以具有约20微米(μm)至约几毫米(mm)的厚度。陶瓷涂层可以具有与陶瓷材料块的结构特性不同的结构特性。
在一个实施例中,陶瓷涂层是由混合在一起的Y2O3、Al2O3和ZrO2原始陶瓷粉末制成的。在一个实施例中,这些原始陶瓷粉末可以具有99.9%或更高的纯度。可以使用例如球磨将原始陶瓷粉末混合。原始陶瓷粉末可以具有约0.5-5μm的粉末尺寸。在一个实施例中,原始陶瓷粉末具有约1μm的粉末尺寸。在混合了陶瓷粉末之后,可以在约1200-1600℃(例如,一个实施例中的1400℃)的煅烧温度下并且以约5-10天(例如,一个实施例中的3天)的煅烧时间来煅烧这些陶瓷粉末。混合粉末的喷雾干燥颗粒尺寸可以具有约3-50μm的尺寸分布。在一个实施例中,中位数尺寸为约15μm。在另一个实施例中,中位数尺寸为约25μm。
在一个实施例中,陶瓷涂层是由在约45摩尔%与约100摩尔%之间的范围内的Y2O3、在从约0摩尔%与约55摩尔%的范围内的ZrO2、以及在从约0摩尔%到约10摩尔%的范围内的Al2O3形成的。在一个实施例中,陶瓷涂层是由在约30摩尔%与约60摩尔%之间的范围内的Y2O3、在从约0摩尔%与约20摩尔%的范围内的ZrO2、以及在从约30摩尔%至约60摩尔%的范围内的Al2O3形成的。
例如,陶瓷涂层CC1可以由约37.5摩尔%的Y2O3和约62.5摩尔%的Al2O3形成。另一个示例陶瓷涂层CC2可以由约53摩尔%的Y2O3、约37摩尔%的Al2O3以及约10摩尔%的ZrO2形成。在另一个示例中,陶瓷涂层CC3可以由约41摩尔%的Y2O3、约47摩尔%的Al2O3以及约12摩尔%的ZrO2形成。在又一个示例中,陶瓷涂层CC4可以由约73.13摩尔%的Y2O3和约26.87摩尔%的ZrO2形成。
表1示出根据一个实施例的陶瓷涂层CC1、CC2和CC3的特性。
Figure BDA0002096637880000111
表2示出根据一个实施例的陶瓷涂层CC1、CC2、CC3、CC4的纯度数据,包括单位为重量ppm计的杂质值。
表2 CC1 CC2 CC3 CC4
Ca 5.9 4.2 5.1 5.1
Cr 0.56 0.5 1.5 0.24
Cu <0.2 <0.2 <0.2 <0.2
Fe 2.5 2.1 6.5 1.1
Mg 1.9 0.68 1.7 1.4
Mn <0.1 <0.1 <0.1 <0.1
Ni <0.1 <0.1 1 <0.1
K 1.2 0.76 0.71 3.1
Na 2 0.19 2.4 19
Sr <0.5 <0.5 <0.5 <0.5
Ti 0.27 0.13 0.14 0.8
Zn <0.5 <5 <5 <5
陶瓷涂层可以具有约2-10%的孔隙率(例如,一个实施例中的小于约5%)、约3-8千兆帕(GPa)的硬度(例如,一个实施例中的大于约4GPa)以及约8-20兆帕(MPa)(例如,一个实施例中的大于约10MPa)的热冲击抗性。此外,陶瓷涂层可以具有约4-20MPa(例如,一个实施例中的大于约14MPa)的粘附强度。粘附强度可以通过将力(例如,以兆帕计)施加给陶瓷涂层直到陶瓷涂层从制品剥落来确定。
在一个实施例中,在框212处,修整陶瓷涂层。在一个实施例中,在陶瓷涂层与制品相接处修整陶瓷涂层的边缘。能以某角度研磨(例如,使用角研磨机)陶瓷涂层以在界面处对陶瓷涂层倒角。修整可以从制品的非经粗糙化的部分中去除任何陶瓷涂层。这可以使剥离最小化。
在一个实施例中,在框214处,研磨、磨光和/或抛光陶瓷涂层。研磨/抛光可以减少陶瓷涂层的厚度和/或降低陶瓷涂层的粗糙度。制品(包括陶瓷涂层)可以被用作用于等离子体蚀刻机(也被称为为等离子体蚀刻反应器)的腔室中的腔室组件(例如,盖体),所述等离子体蚀刻机用来执行导体蚀刻。通过使涂覆陶瓷的制品的表面粗糙度最小化,被暴露的表面积将减小,从而减少晶圆上的金属污染。在一个实施例中,陶瓷涂层具有约8-10mil的抛光后厚度以及约6-12μin的抛光后粗糙度。
在框216处,清洁经涂覆的制品。可以使用一个或多个湿法清洁机来清洁制品。在一个实施例中,第一湿法清洁机执行清洁制作方法,该清洁制作方法在DI水浴中清洁制品达多至10分钟,同时以高达100%的频率和功率(例如,20kW)以超声波方式搅动DI水浴。在一个实施例中,第二湿法清洁机执行清洁制作方法,该清洁制作方法在丙酮浴中清洁制品达多至10分钟,同时以高达100%的频率和功率(例如,20kW)以超声波方式搅动丙酮浴。然后,可以再次利用第一湿法清洁机来清洁制品。
在清洁之后,可对制品测试粒子。表示粒子计数的所测量的参数是胶带剥离测试粒子计数和液体粒子计数(LPC)。通过将粘性胶带粘附到陶瓷涂层、剥离该胶带、并且对粘附于该胶带的粒子数量进行计数来执行胶带测试。通过将制品置于水浴(例如,去离子(DI)水浴)中,并使该水浴进行声波处理来确定LPC。然后,可以使用例如激光计数器来对在溶液中掉落的粒子数量进行计数。
图3示出根据本发明的实施例的在制造过程的不同阶段期间的制品的剖面侧视图310-350。在一个实施例中,这些剖面侧视图对应于在制造过程200的不同阶段期间的制品状态。
侧视图310示出设置在所提供的制品的受保护部分上方的硬掩模353。所提供的制品可以具有金属体(例如,铝体)或陶瓷体(例如,Al2O3体)。侧视图310示出在完成方法200的框202之后的制品状态。硬掩模353可以防止受保护的部分在珠击期间免于变得粗糙化。
侧视图320示出在已执行了珠击之后的制品352。制品352具有经粗糙化的表面358,该经粗糙化的表面358对应于制品的在珠击期间未受保护的部分。制品352还具有平滑的表面357,该平滑的表面357对应于制品的未被粗糙化的部分。如图所示,在已使制品352粗糙化之后,在平滑的表面357上方,将软掩模356设置在制品352上。软掩模356可用于覆盖制品352的先前由硬掩模353保护的相同区域。侧视图320示出在完成框212之后的制品状态。
侧视图330示出(例如,根据本文中所述的数个实施例中的一个实施例的)在制品352上方的陶瓷涂层360。如图所示,陶瓷涂层360具有粗糙的表面362。当在处理时使用陶瓷制品时,该粗糙的表面可能是粒子污染的源。此外,如果制品可用作执行导体蚀刻的等离子体蚀刻机的盖体,则粗糙的表面362可能导致溅射发生(例如,由于电感耦合)。此外,在软掩模356曾经存在之处,陶瓷涂层可能具有缘部(lip)363和/或粗糙的边缘。在处理期间,该缘部363可能导致陶瓷涂层360从制品352剥离。此外,该缘部可能是粒子污染的源。侧视图330示出在完成框215之后的制品状态。
侧视图340示出在已修整了陶瓷涂层360的边缘之后制品352上方的陶瓷涂层360。侧视图340示出在完成框220之后的制品状态。如图所示,陶瓷涂层360具有锥形或经倒角的边缘366。
侧视图350示出在已掩模并抛光陶瓷涂层360之后在制品352上方的陶瓷涂层360。侧视图350示出在完成框222之后的制品状态。如图所示,已使陶瓷涂层360的粗糙的表面362平滑,并且已减小了陶瓷涂层360的厚度。
图4示出根据本发明的实施例的具有陶瓷涂层的制品的样品的显微照片。显微照片402图示陶瓷涂层CC1的表面,显微照片404图示陶瓷涂层CC2的表面,显微照片406图示陶瓷涂层CC3的表面,并且显微照片408图示陶瓷涂层CC4的表面。显微照片410示出陶瓷涂层CC1的剖面的样本,显微照片412示出陶瓷涂层CC2的剖面的样本,显微照片414示出陶瓷涂层CC3的剖面的样本,并且显微照片415示出陶瓷涂层CC4的剖面的样本。
图5示出根据本发明的实施例的、在利用陶瓷涂层之前以及在已利用陶瓷涂层而使得腐蚀发生之后,在各种放大倍率水平下的制品上的陶瓷涂层CC1的表面的附加的显微照片502-512。显微照片502图示在已利用制品之前,在1000倍放大倍率下的陶瓷涂层CC1。显微照片504图示在已利用制品之前,在4000倍放大倍率下的陶瓷涂层CC1。显微照片506图示在已利用制品之前,在10000倍放大倍率下的陶瓷涂层CC1。
显微照片508图示在已利用制品而使得腐蚀发生之后,在1000倍放大倍率下的陶瓷涂层CC1。显微照片510图示在已利用制品而使得腐蚀发生之后,在4000倍放大倍率下的陶瓷涂层CC1。显微照片512图示在已利用制品而使得腐蚀发生之后,在10000倍放大倍率下的陶瓷涂层CC1。
图6示出根据本发明的实施例的、在利用陶瓷涂层之前以及在已利用陶瓷涂层而使得腐蚀发生之后,在各种放大倍率水平下的制品上的陶瓷涂层CC2的表面的附加的显微照片602-612。显微照片602图示在已利用制品之前,在1000倍放大倍率下的陶瓷涂层CC2。显微照片604图示在已利用制品之前,在4000倍放大倍率下的陶瓷涂层CC2。显微照片606图示在已利用制品之前,在10000倍放大倍率下的陶瓷涂层CC2。
显微照片608图示在已利用制品而使得腐蚀发生之后,在1000倍放大倍率下的陶瓷涂层CC2。显微照片610图示在已利用制品而使得腐蚀发生之后,在4000倍放大倍率下的陶瓷涂层CC2。显微照片612图示在已利用制品而使得腐蚀发生之后,在10000倍放大倍率下的陶瓷涂层CC2。
图7示出根据本发明的实施例的、在利用陶瓷涂层之前以及在已利用陶瓷涂层而使得腐蚀发生之后,在各种放大倍率水平下的制品上的陶瓷涂层CC3的表面的附加的显微照片702-712。显微照片702图示在已利用制品之前,在1000倍放大倍率下的陶瓷涂层CC3。显微照片704图示在已利用制品之前,在4000倍放大倍率下的陶瓷涂层CC3。显微照片706图示在已利用制品之前,在10000倍放大倍率下的陶瓷涂层CC3。
显微照片708图示在已利用制品而使得腐蚀发生之后,在1000倍放大倍率下的陶瓷涂层CC3。显微照片710图示在已利用制品而使得腐蚀发生之后,在4000倍放大倍率下的陶瓷涂层CC3。显微照片712图示在已利用制品而使得腐蚀发生之后,在10000倍放大倍率下的陶瓷涂层CC3。
图8示出CC1、CC2、CC3及对照组的归一化腐蚀速率,其中,CC2呈现了最低的归一化腐蚀速率。
表3示出陶瓷涂层CC1、CC2和CC3以及对照组陶瓷涂层的腐蚀速率比较,其中,CC2总体上显示出较低的腐蚀速率。
表3 <![CDATA[N<sub>2</sub>/H<sub>2</sub>]]> <![CDATA[CH<sub>3</sub>/CF<sub>4</sub>]]> <![CDATA[CH<sub>4</sub>/CL<sub>2</sub>]]>
对照组 22.00 0.35 0.18
CC1 21.5 0.45 0.35
CC2 15.83 0.35 0.25
CC3 21.00 0.43 0.24
与其他陶瓷涂层相比,本文中所述的陶瓷涂层提供了在H2化学条件下的高的抗腐蚀(erosion)性、低孔隙率以及抗侵蚀(corrosion)性的显著改善(例如,HCl起泡时间)、减少的涂层表面粗糙度以及提高的击穿电压。
前面的描述陈述了大量的具体细节(例如,特定系统、组件、方法等的示例)以提供对本发明的若干实施例的良好理解。然而,对于本领域技术人员将显而易见的是,可以在没有这些具体细节的情况下实践本发明的至少一些实施例。在其他示例中,众所周知的组件或方法未被详细描述或以简单的框图格式呈现,以避免不必要地使本发明模糊。因此,所陈述的具体细节仅是示例性的。特定的实现可以与这些示例性细节有所不同,但仍然可以被构想为在本发明的范围内。
贯穿本说明书中提及“一个实施例”或“实施例”意味着将结合该实施例所描述的特定特征、结构或特性包括在至少一个实施例中。因此,贯穿本说明书在不同地方出现的短语“在一个实施例中”或“在实施例中”并不一定全部指同一个实施例。此外,术语“或”旨在意指包括性的“或”而非排他性的“或”。
虽然以特定顺序示出和描述了本文中的方法的操作,但可改变每个方法的操作顺序,使得能以逆序执行某个操作,或使得可以至少部分地与其他操作一起并发地执行某个操作。在另一个实施例中,不同操作的指令或子操作可以处于间歇的和/或交替的方式。
应当理解的是,以上描述旨在是说明性而非限制性的。在阅读并理解了以上描述后,许多其他实施例对于本领域技术人员将是显而易见的。因此,应当参照所附权利要求书以及经授权的此类权利要求书的等价方案的完整范围来确定本发明的范围。

Claims (13)

1.一种制造用于等离子体腔室的制品的方法,所述方法包括:
提供体,所述体包括平面表面,所述平面表面包括粗糙化表面区域和非粗糙化表面区域,所述粗糙化表面区域具有约100μin至约300μin的粗糙度;以及
以陶瓷涂层涂覆所述平面表面的所述粗糙化表面区域,所述陶瓷涂层包括:在约45摩尔%到约99摩尔%之间的范围内的Y2O3、在从大于0摩尔%到约55摩尔%的范围内的ZrO2、以及在从约0摩尔%到约10摩尔%的范围内的Al2O3
其中,抛光所述陶瓷涂层以使其具有约6μin至约12μin的抛光后表面粗糙度以及约5mil至约25mil的抛光后厚度,并且
其中,所述陶瓷涂层包括在所述粗糙化表面区域与所述非粗糙化表面区域之间的界面处的锥形边缘或经倒角的边缘中的至少一个。
2.如权利要求1所述的方法,其中,以所述陶瓷涂层涂覆所述体的所述粗糙化表面区域包括:将所述陶瓷涂层等离子体喷涂至所述粗糙化表面区域上。
3.如权利要求1所述的方法,其中,所述体包括铝、铜或镁中的至少一者。
4.如权利要求1所述的方法,其中,所述体包括陶瓷。
5.一种制造等离子体腔室中的制品的方法,所述方法包括:
提供体,所述体包括平面表面,所述平面表面包括粗糙化表面区域和非粗糙化表面区域,所述粗糙化表面区域具有约100μin至约300μin的粗糙度;以及
以陶瓷涂层涂覆所述平面表面的所述粗糙化表面区域,所述陶瓷涂层包括:在约30摩尔%到约60摩尔%之间的范围内的Y2O3、在大于0摩尔%到约20摩尔%之间的范围内的ZrO2、以及在约30摩尔%到约60摩尔%之间的范围内的Al2O3
其中,抛光所述陶瓷涂层以使其具有约6μin至约12μin的抛光后表面粗糙度以及约5mil至约25mil的抛光后厚度,并且
其中,所述陶瓷涂层包括在所述粗糙化表面区域与所述非粗糙化表面区域之间的界面处的锥形边缘或经倒角的边缘中的至少一个。
6.如权利要求5所述的方法,其中,以所述陶瓷涂层涂覆所述体的所述粗糙化表面区域包括:将所述陶瓷涂层等离子体喷涂至所述粗糙化表面区域。
7.如权利要求5所述的方法,其中,所述体包括铝、铜或镁中的至少一者。
8.如权利要求5所述的方法,其中,所述体包括陶瓷。
9.一种用于等离子体腔室的制品,包括:
体,所述体包括平面表面,所述平面表面包括粗糙化表面区域和非粗糙化表面区域,所述粗糙化表面区域具有约100μin至约300μin的粗糙度;以及
在所述平面表面的所述粗糙化表面区域上的陶瓷涂层,其中,所述陶瓷涂层包括:在约45摩尔%到约99摩尔%之间的范围内的Y2O3、在从大于0摩尔%到约55摩尔%的范围内的ZrO2、以及在从约0摩尔%到约10摩尔%的范围内的Al2O3
其中,所述陶瓷涂层具有约6μin至约12μin的抛光后表面粗糙度以及约5 mil至约25mil的抛光后厚度,并且
其中,所述陶瓷涂层包括在所述粗糙化表面区域与所述非粗糙化表面区域之间的界面处的锥形边缘或经倒角的边缘中的至少一个。
10.如权利要求9所述的制品,其中,所述体包括铝、铜或镁中的至少一者。
11.如权利要求9所述的制品,其中,所述体包括陶瓷。
12.一种用于等离子体腔室的制品,包括:
体,所述体包括平面表面,所述平面表面包括粗糙化表面区域和非粗糙化表面区域,所述粗糙化表面区域具有约100μin至约300μin的粗糙度;以及
在所述平面表面的所述粗糙化表面区域上的陶瓷涂层,其中,所述陶瓷涂层包括:在约30摩尔%到约60摩尔%之间的范围内的Y2O3、在大于0摩尔%到约20摩尔%之间的范围内的ZrO2、以及在约30摩尔%到约60摩尔%之间的范围内的Al2O3
其中,所述陶瓷涂层具有约6μin至约12μin的抛光后表面粗糙度以及约5 mil至约25mil的抛光后厚度,并且
其中,所述陶瓷涂层包括在所述粗糙化表面区域与所述非粗糙化表面区域之间的界面处的锥形边缘或经倒角的边缘中的至少一个。
13.如权利要求12所述的制品,其中,所述体包括铝、铜或镁中的至少一者。
CN201910520900.1A 2013-06-05 2014-05-20 制造制品的方法 Active CN110194681B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201361831424P 2013-06-05 2013-06-05
US61/831,424 2013-06-05
US13/954,808 US9865434B2 (en) 2013-06-05 2013-07-30 Rare-earth oxide based erosion resistant coatings for semiconductor application
US13/954,808 2013-07-30
CN201480017514.6A CN105074889B (zh) 2013-06-05 2014-05-20 用于半导体应用的稀土氧化物基抗腐蚀涂层
PCT/US2014/038858 WO2014197203A1 (en) 2013-06-05 2014-05-20 Rare-earth oxide based erosion resistant coatings for semiconductor application

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201480017514.6A Division CN105074889B (zh) 2013-06-05 2014-05-20 用于半导体应用的稀土氧化物基抗腐蚀涂层

Publications (2)

Publication Number Publication Date
CN110194681A CN110194681A (zh) 2019-09-03
CN110194681B true CN110194681B (zh) 2023-04-18

Family

ID=52005692

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201480017514.6A Active CN105074889B (zh) 2013-06-05 2014-05-20 用于半导体应用的稀土氧化物基抗腐蚀涂层
CN201910520900.1A Active CN110194681B (zh) 2013-06-05 2014-05-20 制造制品的方法
CN201710750789.6A Active CN107546136B (zh) 2013-06-05 2014-05-20 制品及用于制造腔室的腔室组件

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201480017514.6A Active CN105074889B (zh) 2013-06-05 2014-05-20 用于半导体应用的稀土氧化物基抗腐蚀涂层

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201710750789.6A Active CN107546136B (zh) 2013-06-05 2014-05-20 制品及用于制造腔室的腔室组件

Country Status (6)

Country Link
US (3) US9865434B2 (zh)
JP (3) JP6064060B2 (zh)
KR (4) KR20150115953A (zh)
CN (3) CN105074889B (zh)
TW (1) TWI601637B (zh)
WO (1) WO2014197203A1 (zh)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US9687953B2 (en) 2014-06-27 2017-06-27 Applied Materials, Inc. Chamber components with polished internal apertures
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
FR3042137B1 (fr) * 2015-10-07 2017-12-01 Safran Piece de turbomachine revetue d'un revetement ceramique de protection, procede de fabrication et d'utilisation d'une telle piece
CN109072432B (zh) * 2016-03-04 2020-12-08 Beneq有限公司 抗等离子蚀刻膜及其制造方法
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
KR20190057753A (ko) * 2017-11-20 2019-05-29 (주)코미코 내플라즈마성 코팅막의 제조방법 및 이에 의해 형성된 내플라즈마성 부재
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
KR102434345B1 (ko) * 2018-03-08 2022-08-19 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 반응 챔버 부재 및 그 제조 방법, 반응 챔버
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
CN109778102A (zh) * 2019-02-27 2019-05-21 中国科学院上海硅酸盐研究所 一种多层结构自修复热障涂层及其制备方法
CN111549310B (zh) * 2020-04-13 2021-01-15 南京深光科技有限公司 一种陶瓷粉体、掩膜版及其制作方法
TW202225118A (zh) 2020-12-28 2022-07-01 財團法人工業技術研究院 陶瓷材料與銲線瓷嘴
KR20220099004A (ko) * 2021-01-05 2022-07-12 삼성전자주식회사 웨이퍼 처리 장치
US11837448B2 (en) 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
CN113617345B (zh) * 2021-08-13 2023-07-28 厦门欧米克生物科技有限公司 一种催化剂及其制备方法和应用
KR102522277B1 (ko) 2022-03-24 2023-04-17 주식회사 펨빅스 내플라즈마 2층 코팅막 구조물 및 이의 제조 방법

Family Cites Families (208)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
US4642440A (en) 1984-11-13 1987-02-10 Schnackel Jay F Semi-transferred arc in a liquid stabilized plasma generator and method for utilizing the same
US4704299A (en) 1985-11-06 1987-11-03 Battelle Memorial Institute Process for low temperature curing of sol-gel thin films
CN1036286A (zh) 1988-02-24 1989-10-11 珀金·埃莱姆公司 超导陶瓷的次大气压等离子体喷涂
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
JPH05238855A (ja) 1992-02-28 1993-09-17 Tokyo Electric Power Co Inc:The セラミックコーティング部材の製造方法
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
GB9410567D0 (en) 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5679167A (en) 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
WO1996011288A1 (en) 1994-10-05 1996-04-18 United Technologies Corporation Multiple nanolayer coating system
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5626923A (en) 1995-09-19 1997-05-06 Mcdonnell Douglas Corporation Method of applying ceramic coating compositions to ceramic or metallic substrate
US5766693A (en) 1995-10-06 1998-06-16 Ford Global Technologies, Inc. Method of depositing composite metal coatings containing low friction oxides
US5939219A (en) 1995-10-12 1999-08-17 Siemens Aktiengesellschaft High-temperature fuel cell having at least one electrically insulating covering and method for producing a high-temperature fuel cell
WO1997039607A1 (fr) 1996-04-12 1997-10-23 Hitachi, Ltd. Dispositif de traitement au plasma
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
DE69717805T2 (de) * 1997-07-18 2003-09-04 Ansaldo Ricerche Srl Verfahren und Vorrichtung zur Herstellung von porösen keramischen Beschichtungen, insbesondere wärmedämmende Beschichtungen, auf metallische Substrate
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
JP2000001362A (ja) 1998-06-10 2000-01-07 Nippon Seratekku:Kk 耐食性セラミックス材料
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
CA2297543A1 (en) 1999-03-10 2000-09-10 Sulzer Metco Ag Method for the production of a coated structure which is suitable for carrying out heterogeneous catalyses
JP4544700B2 (ja) * 1999-07-29 2010-09-15 京セラ株式会社 真空容器及びその製造方法
DE60045384D1 (de) 1999-09-29 2011-01-27 Tokyo Electron Ltd Mehrzonenwiderstandsheizung
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP4548887B2 (ja) 1999-12-27 2010-09-22 京セラ株式会社 耐食性セラミック部材およびその製造方法
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
EP1193233A1 (en) 2000-02-07 2002-04-03 Ibiden Co., Ltd. Ceramic substrate for semiconductor production/inspection device
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
JP4540221B2 (ja) 2000-04-21 2010-09-08 日本碍子株式会社 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
CA2306941A1 (en) 2000-04-27 2001-10-27 Standard Aero Ltd. Multilayer thermal barrier coatings
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
MXPA02010719A (es) 2000-05-02 2004-07-30 Univ Johns Hopkins Metodo de elaboracion de hoja reactiva de capas multiples, y producto resultante.
DE10024137A1 (de) 2000-05-18 2001-11-22 Gert Schlueter Probenzylinder, insbesondere mit einer Filtriervorrichtung für die Wiedergewinnung von Zellmaterial aus Körperflüssigkeiten
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
DE60127035T2 (de) 2000-06-29 2007-11-08 Shin-Etsu Chemical Co., Ltd. Thermisches Sprühbeschichtungsverfahren und Pulver aus Oxyden der seltenen Erden dafür
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP4688307B2 (ja) 2000-07-11 2011-05-25 コバレントマテリアル株式会社 半導体製造装置用耐プラズマ性部材
WO2002040732A1 (en) 2000-11-15 2002-05-23 G.T. Equipment Technologies Inc. A protective layer for quartz crucibles used for silicon crystallization
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US6915964B2 (en) 2001-04-24 2005-07-12 Innovative Technology, Inc. System and process for solid-state deposition and consolidation of high velocity powder particles using thermal plastic deformation
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
TWI246873B (en) 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
JP2003031535A (ja) * 2001-07-11 2003-01-31 Mitsubishi Electric Corp 半導体製造装置の超音波洗浄方法
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
JP4277973B2 (ja) * 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
WO2003104161A2 (en) * 2001-08-02 2003-12-18 3M Innovative Properties Company Alumina-yttria-zirconium oxide/hafnium oxide materials, and methods of making and using the same
WO2003011783A2 (en) 2001-08-02 2003-02-13 3M Innovative Properties Company Method of making amorphous materials and ceramics
BR0211577A (pt) 2001-08-02 2004-07-13 3M Innovative Properties Co Vidro, métodos para fabricar um artigo que compreende vidro, um vidro-cerâmica, um artigo de vidro-cerâmica e partìculas abrasivas, vidro-cerâmica, partìcula abrasiva, pluralidade de partìculas abrasivas, e, artigo abrasivo
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP5132859B2 (ja) 2001-08-24 2013-01-30 ステラケミファ株式会社 多成分を有するガラス基板用の微細加工表面処理液
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
TWI262905B (en) 2001-11-13 2006-10-01 Tosoh Corp Quartz glass parts, ceramic parts and process of producing those
JP2003212598A (ja) 2001-11-13 2003-07-30 Tosoh Corp 石英ガラス部品及びセラミック部品並びにそれらの製造方法
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6592948B1 (en) 2002-01-11 2003-07-15 General Electric Company Method for masking selected regions of a substrate
JP2003238250A (ja) 2002-02-12 2003-08-27 Yotai Refractories Co Ltd イットリア質耐火物
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
JP4153708B2 (ja) 2002-03-12 2008-09-24 東京エレクトロン株式会社 エッチング方法
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
US20030232139A1 (en) 2002-06-13 2003-12-18 Detura Frank Anthony Shield and method for spraying coating on a surface
DE10327708B4 (de) 2002-06-14 2005-03-31 Technische Universität Dresden Verfahren zur Herstellung von gasdichten und hochtemperaturbeständigen Verbindungen von Formteilen aus nichtoxidischer Keramik mittels Laser
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US7507481B2 (en) 2002-11-20 2009-03-24 Shin-Etsu Chemical Co., Ltd. Heat resistant coated member, making method, and treatment using the same
JP4208580B2 (ja) 2003-01-15 2009-01-14 日本碍子株式会社 複合焼結体およびその製造方法
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
US6753269B1 (en) 2003-05-08 2004-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for low k dielectric deposition
JP2004332081A (ja) 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
US7510641B2 (en) 2003-07-21 2009-03-31 Los Alamos National Security, Llc High current density electropolishing in the preparation of highly smooth substrate tapes for coated conductors
US7658816B2 (en) 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US20050056056A1 (en) 2003-09-16 2005-03-17 Wong Marvin Glenn Healing micro cracks in a substrate
WO2005029564A1 (ja) 2003-09-16 2005-03-31 Shin-Etsu Quartz Products Co., Ltd. プラズマエッチング装置用部材及びその製造方法
EP1524682B1 (en) 2003-10-17 2011-10-05 Tosoh Corporation Component for vacuum apparatus, production method thereof and apparatus using the same
EP1690845A4 (en) 2003-10-31 2009-04-01 Tokuyama Corp ASSEMBLED ARTICLE BASED ON ALUMINUM NITRIDE AND PROCESS FOR PRODUCING THE SAME
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
JP4429742B2 (ja) 2004-01-21 2010-03-10 住友大阪セメント株式会社 焼結体及びその製造方法
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050199183A1 (en) * 2004-03-09 2005-09-15 Masatsugu Arai Plasma processing apparatus
JP4443976B2 (ja) 2004-03-30 2010-03-31 忠弘 大見 セラミックスの洗浄方法および高清浄性セラミックス
US7334330B2 (en) 2004-04-28 2008-02-26 Siemens Power Generation, Inc. Thermally insulating layer incorporating a distinguishing agent and method for inspecting the same
KR100588475B1 (ko) 2004-06-07 2006-06-09 한국화학연구원 폴리실록산계 화합물을 포함하는 고체 고분자 전해질 조성물
US7618769B2 (en) 2004-06-07 2009-11-17 Applied Materials, Inc. Textured chamber surface
JP2006027012A (ja) 2004-07-14 2006-02-02 Pioneer Electronic Corp 脱泡方法及びこれを用いた脱泡装置
US20060068189A1 (en) 2004-09-27 2006-03-30 Derek Raybould Method of forming stabilized plasma-sprayed thermal barrier coatings
AU2005333196B2 (en) 2004-10-01 2009-10-01 American Superconductor Corp. Thick superconductor films with improved performance
US8058186B2 (en) 2004-11-10 2011-11-15 Tokyo Electron Limited Components for substrate processing apparatus and manufacturing method thereof
JP2006199545A (ja) * 2005-01-21 2006-08-03 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
JP2006207012A (ja) 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US20060222777A1 (en) 2005-04-05 2006-10-05 General Electric Company Method for applying a plasma sprayed coating using liquid injection
EP1890983B1 (en) 2005-05-31 2012-12-12 Corning Incorporated Aluminum titanate ceramic forming batch mixtures and green bodies including pore former combinations and methods of manufacturing and firing same
GB2423079B (en) 2005-06-29 2008-11-12 Tetronics Ltd Waste treatment process and apparatus
JP4813115B2 (ja) 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
US7494723B2 (en) 2005-07-29 2009-02-24 Tocalo Co., Ltd. Y2O3 spray-coated member and production method thereof
JP2007126712A (ja) 2005-11-02 2007-05-24 Fujimi Inc 溶射用粉末及び溶射皮膜の形成方法
US20070128862A1 (en) 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US8290433B2 (en) 2007-11-14 2012-10-16 Blaze Mobile, Inc. Method and system for securing transactions made through a mobile communication device
US7622195B2 (en) 2006-01-10 2009-11-24 United Technologies Corporation Thermal barrier coating compositions, processes for applying same and articles coated with same
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
JP4398436B2 (ja) 2006-02-20 2010-01-13 トーカロ株式会社 熱放射特性等に優れるセラミック溶射皮膜被覆部材およびその製造方法
US7648782B2 (en) 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
US20070264155A1 (en) 2006-05-09 2007-11-15 Brady Michael D Aerosol jet deposition method and system for creating a reference region/sample region on a biosensor
ES2373144T3 (es) 2006-05-12 2012-01-31 Fundacion Inasmet Procedimiento de obtención de recubrimientos cerámicos y recubrimientos cerámicos obtenidos.
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US20080090034A1 (en) 2006-09-18 2008-04-17 Harrison Daniel J Colored glass frit
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US7919722B2 (en) 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US7862901B2 (en) 2006-12-15 2011-01-04 General Electric Company Yttria containing thermal barrier coating topcoat layer and method for applying the coating layer
WO2008082978A2 (en) 2006-12-26 2008-07-10 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method of forming
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
MX2009009745A (es) 2007-03-12 2009-09-23 Saint Gobain Ceramics Elementos de ceramica de alta resistencia y metodos para hacer y usar los mismos.
JP4936948B2 (ja) 2007-03-27 2012-05-23 日本碍子株式会社 複合材料及びその製造方法
CN103102157A (zh) * 2007-04-27 2013-05-15 应用材料公司 降低暴露于含卤素等离子体表面的腐蚀速率的装置和方法
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP5047741B2 (ja) 2007-09-13 2012-10-10 コバレントマテリアル株式会社 耐プラズマ性セラミックス溶射膜
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
CA2658210A1 (en) 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
US8546284B2 (en) 2008-05-07 2013-10-01 Council Of Scientific & Industrial Research Process for the production of plasma sprayable yttria stabilized zirconia (YSZ) and plasma sprayable YSZ powder produced thereby
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US20090297718A1 (en) 2008-05-29 2009-12-03 General Electric Company Methods of fabricating environmental barrier coatings for silicon based substrates
KR20100005250A (ko) 2008-06-27 2010-01-15 이호영 히트펌프식 냉난방 및 냉온수 공급 시스템
WO2010019829A1 (en) * 2008-08-13 2010-02-18 Robert Stancel Impact resistant thin-glass solar modules
JP5537001B2 (ja) 2008-08-20 2014-07-02 株式会社アルバック 表面処理セラミックス部材、その製造方法および真空処理装置
US7929269B2 (en) 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
KR101368736B1 (ko) * 2008-09-25 2014-03-05 인비보 테라퓨틱스 코포레이션 척수 외상, 염증, 및 면역 질환: 치료제의 국소적 제어 방출
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
JP5545792B2 (ja) 2008-10-31 2014-07-09 株式会社日本セラテック 耐食性部材
US20100272982A1 (en) 2008-11-04 2010-10-28 Graeme Dickinson Thermal spray coatings for semiconductor applications
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) * 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US8829079B2 (en) 2009-01-22 2014-09-09 3M Innovative Properties Company Surface-modified zirconia nanoparticles
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
KR101750841B1 (ko) 2009-02-05 2017-06-26 오엘리콘 멧코 아게, 볼렌 기재 표면의 코팅 또는 처리를 위한 플라즈마 코팅 시스템 및 그 방법
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US8444737B2 (en) 2009-02-27 2013-05-21 Corning Incorporated Ceramic structures and methods of making ceramic structures
JP5001323B2 (ja) 2009-03-27 2012-08-15 トーカロ株式会社 白色酸化イットリウム溶射皮膜表面の改質方法および酸化イットリウム溶射皮膜被覆部材
WO2010128572A1 (ja) 2009-05-08 2010-11-11 有限会社渕田ナノ技研 ジルコニア膜の成膜方法
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
JP2011002365A (ja) 2009-06-19 2011-01-06 Panasonic Electric Works Denro Co Ltd 電力監視システム
CN101992244A (zh) * 2009-08-13 2011-03-30 深圳富泰宏精密工业有限公司 金属高温成型模具及其制造方法
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
WO2011122377A1 (ja) 2010-03-30 2011-10-06 日本碍子株式会社 半導体製造装置用耐食性部材及びその製法
KR101221925B1 (ko) 2010-04-22 2013-01-14 한국세라믹기술원 플라즈마 저항성 세라믹 피막 및 그 제조 방법
TW201209957A (en) 2010-05-28 2012-03-01 Praxair Technology Inc Substrate supports for semiconductor applications
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
WO2012005977A1 (en) 2010-06-29 2012-01-12 Los Alamos National Security, Llc Solution deposition planarization method
US20120196139A1 (en) * 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US20120100299A1 (en) 2010-10-25 2012-04-26 United Technologies Corporation Thermal spray coating process for compressor shafts
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
WO2012166256A1 (en) 2011-06-02 2012-12-06 Applied Materials, Inc. Electrostatic chuck aln dielectric repair
KR101094725B1 (ko) 2011-06-24 2011-12-16 주식회사 펨빅스 산화이트륨 코팅막 및 산화이트륨 코팅방법
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
ZA201202480B (en) 2011-10-17 2012-11-28 Int Advanced Res Centre For Power Metallurgy And New Mat (Arci) Dept Of Science And Tech Govt Of Ind An improved hybrid methodology for producing composite,multi-layered and graded coatings by plasma spraying utitilizing powder and solution precurrsor feedstock
JP5496992B2 (ja) 2011-12-13 2014-05-21 中国電力株式会社 プラズマ溶射装置及びその制御方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application

Also Published As

Publication number Publication date
KR102213756B1 (ko) 2021-02-05
TW201511942A (zh) 2015-04-01
JP2016516887A (ja) 2016-06-09
KR20200038556A (ko) 2020-04-13
CN107546136A (zh) 2018-01-05
US20180102237A1 (en) 2018-04-12
JP6971726B2 (ja) 2021-11-24
CN105074889A (zh) 2015-11-18
JP2017100938A (ja) 2017-06-08
US20150270108A1 (en) 2015-09-24
CN105074889B (zh) 2019-07-12
WO2014197203A1 (en) 2014-12-11
KR102098926B1 (ko) 2020-04-08
CN107546136B (zh) 2020-12-04
JP6064060B2 (ja) 2017-01-18
KR20170102037A (ko) 2017-09-06
TWI601637B (zh) 2017-10-11
US9865434B2 (en) 2018-01-09
CN110194681A (zh) 2019-09-03
US10734202B2 (en) 2020-08-04
US20140363596A1 (en) 2014-12-11
JP2018040058A (ja) 2018-03-15
JP6678098B2 (ja) 2020-04-08
KR20150122736A (ko) 2015-11-02
KR101773510B1 (ko) 2017-08-31
KR20150115953A (ko) 2015-10-14

Similar Documents

Publication Publication Date Title
CN110194681B (zh) 制造制品的方法
US9090046B2 (en) Ceramic coated article and process for applying ceramic coating
US20130273313A1 (en) Ceramic coated ring and process for applying ceramic coating
JP6526729B2 (ja) 希土類酸化物系モノリシックチャンバ材料
US20180366302A1 (en) Coating architecture for plasma sprayed chamber components
KR102294960B1 (ko) 플라즈마 내침식성 희토류 옥사이드 기반 박막 코팅
JP2015522710A (ja) 重要チャンバコンポーネント用プラズマ溶射プロセスの強化

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant