KR101773510B1 - 반도체 적용을 위한 희토류 옥사이드 기반 내침식성 코팅 방법 및 물품 - Google Patents

반도체 적용을 위한 희토류 옥사이드 기반 내침식성 코팅 방법 및 물품 Download PDF

Info

Publication number
KR101773510B1
KR101773510B1 KR1020157026487A KR20157026487A KR101773510B1 KR 101773510 B1 KR101773510 B1 KR 101773510B1 KR 1020157026487 A KR1020157026487 A KR 1020157026487A KR 20157026487 A KR20157026487 A KR 20157026487A KR 101773510 B1 KR101773510 B1 KR 101773510B1
Authority
KR
South Korea
Prior art keywords
article
ceramic coating
mol
ceramic
surface area
Prior art date
Application number
KR1020157026487A
Other languages
English (en)
Other versions
KR20150122736A (ko
Inventor
제니퍼 와이. 선
비라자 피. 카눈고
톰 차오
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150122736A publication Critical patent/KR20150122736A/ko
Application granted granted Critical
Publication of KR101773510B1 publication Critical patent/KR101773510B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/4807Ceramic parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5045Rare-earth oxides
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/87Ceramics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • Y10T428/131Glass, ceramic, or sintered, fused, fired, or calcined metal oxide or metal carbide containing [e.g., porcelain, brick, cement, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension

Abstract

물품은 세라믹 코팅으로 코팅된 바디(body)를 포함한다. 세라믹 코팅은 약 45 mol% 내지 약 99 mol% 범위의 Y2O3, 약 0 mol% 내지 약 55 mol% 범위의 ZrO2, 및 약 0 mol% 내지 약 10 mol% 범위의 Al2O3을 포함할 수 있다. 세라믹 코팅은 대안적으로, 약 30 mol% 내지 약 60 mol% 범위의 Y2O3, 약 0 mol% 내지 약 20 mol% 범위의 ZrO2, 및 약 30 mol% 내지 약 60 mol% 범위의 Al2O3을 포함할 수 있다.

Description

반도체 적용을 위한 희토류 옥사이드 기반 내침식성 코팅 방법 및 물품{A METHOD AND AN ARTICLE FOR RARE-EARTH OXIDE BASED EROSION RESISTANT COATINGS FOR SEMICONDUCTOR APPLICATION}
본 발명의 구체예들은 일반적으로, 세라믹 코팅된 물품들, 및 기판에 세라믹 코팅을 적용하는 방법에 관한 것이다.
반도체 산업에서, 소자들은 점차 감소하는 크기의 구조물들을 생산하는 다수의 제작 공정들에 의해 제작된다. 일부 제작 공정들, 예를 들어 플라즈마 에치(plasma etch) 및 플라즈마 세정 공정들은 기판을 에칭하거나 세정하기 위해 고속의 플라즈마 스트림에 기판을 노출시킨다. 플라즈마는 매우 부식성일 수 있고, 가공 챔버들 및 플라즈마에 노출되는 다른 표면들을 부식시킬 수 있다. 이러한 부식은 입자들을 발생시킬 수 있는데, 이는 흔히 가공될 기판을 오염시켜, 소자 결함들에 기여한다.
소자 기하학적 구조가 작아짐에 따라, 결함들에 대한 민감성(susceptibility)은 증가하며, 입자 오염 요건들(즉, 온-웨이퍼 성능(on-wafer performance))은 더욱 엄격하게 된다. 플라즈마 에치 및/또는 플라즈마 세정 공정들에 의해 도입되는 입자 오염을 최소화하기 위하여, 플라즈마들에 대해 저항성인 챔버 재료들이 개발되었다. 이러한 플라즈마 저항성 재료들의 예들은 Al2O3, AlN, SiC, Y2O3, 석영, 및 ZrO2로 이루어진 세라믹들을 포함한다. 상이한 세라믹들은 상이한 재료 성질들, 예를 들어 플라즈마 저항성, 강성, 굽힘 강도, 열 충격 저항성, 등을 제공한다. 또한, 상이한 세라믹들은 상이한 재료 비용들을 갖는다. 이에 따라, 일부 세라믹들은 우수한 플라즈마 저항성을 가지며, 다른 세라믹들은 보다 낮은 비용들을 가지며, 또 다른 세라믹들은 우수한 굽힘 강도 및/또는 열 충격 저항성을 갖는다.
본 발명의 배경이 되는 기술은 미국 등록특허공보 제7,696,117호 (2008.10.30.), 미국 특허출원공개공보 제2008/0213496호 (2008.09.04.) 및 미국 특허출원공개공보 제2003/0059653호 (2003.03.27.)에 개시되어 있다.
일 구체예에서, 바디(body)를 제공하고 바디의 적어도 하나의 표면을, 약 45 mol% 내지 약 99 mol% 범위의 Y2O3, 약 0 mol% 내지 약 55 mol% 범위의 ZrO2, 및 약 0 mol% 내지 약 10 mol% 범위의 Al2O3을 포함하는 세라믹 코팅으로 코팅함으로써 물품이 제작된다.
본 발명은 첨부된 도면의 도(figure)들에서 일 예로서 예시되는 것으로, 제한적인 것으로 예시되지 않으며, 여기서, 유사 참조 기호들은 유사한 구성요소들을 명시하는 것이다. 본 명세서에서 "하나의" 구체예에 대한 상이한 언급 대상(reference)들이 반드시 동일한 구체예에 대한 것일 필요는 없고 이러한 언급 대상은 적어도 하나를 의미한다는 것이 주지되어야 한다.
도 1은 본 발명의 일 구체예에 따른, 제작 시스템의 예시적인 구조를 예시한 것이다.
도 2는 본 발명의 구체예들에 따른, 세라믹 코팅을 갖는 물품을 제작하는 공정을 도시한 흐름도이다.
도 3은 본 발명의 구체예들에 따른, 제작 공정의 상이한 스테이지들 동안의 물품의 단면 측면도들을 도시한 것이다.
도 4는 본 발명의 구체예들에 따른, 코팅들의 하향 및 단면 현미경 사진 도들을 도시한 것이다.
도 5는 본 발명의 구체예들에 따른, 다양한 배율 수준들에서의 세라믹 코팅 표면의 현미경 사진을 도시한 것이다.
도 6은 본 발명의 구체예들에 따른, 다양한 배율 수준들에서의 세라믹 코팅 표면의 현미경 사진을 도시한 것이다.
도 7은 본 발명의 구체예들에 따른, 다양한 배율 수준들에서의 세라믹 코팅 표면의 현미경 사진을 도시한 것이다.
도 8은 본 발명의 구체예들에 따른, 세라믹 코팅들의 일반화된 침식율들을 도시한 것이다.
본 발명의 구체예들은 기판 또는 바디를 세라믹 코팅으로 코팅하는 공정, 및 이러한 코팅 공정을 사용하여 형성된 물품(예를 들어, 플라즈마 에치 반응기용 뚜껑, 샤워헤드, 챔버 라이너, 등)에 관한 것이다. 일 구체예에서, 물품은 약 45 mol% 내지 약 100 mol% 범위의 Y2O3, 약 0 mol% 내지 약 55 mol% 범위의 ZrO2, 및 약 0 mol% 내지 약 10 mol% 범위의 Al2O3의 몰 농도를 포함하는 세라믹 코팅으로 코팅된 바디를 포함한다. 일 구체예에서, 물품은 약 30 mol% 내지 약 60 mol% 범위의 Y2O3, 약 0 mol% 내지 약 20 mol% 범위의 ZrO2, 및 약 30 mol% 내지 약 60 mol% 범위의 Al2O3을 포함하는 세라믹 코팅으로 코팅된 바디를 포함한다. 일 예에서, 코팅은 약 5 mil 내지 약 25 mil의 두께를 가질 수 있다.
물품을 위한 바디는 몇 가지 예를 들면, 알루미늄, 구리, 또는 마그네슘과 같은 금속을 포함할 수 있다. 대안적으로, 물품을 위한 바디는 세라믹, 예를 들어 Al2O3, AlN, 등을 포함할 수 있다. 코팅 전에, 물품의 표면은 일부 구체예들에서 약 100 마이크로-인치 내지 약 300 마이크로-인치의 거칠기로 조면화될 수 있고/거나, 약 70℃ 내지 약 200℃의 온도로 가열될 수 있다.
물품의 세라믹 코팅은 플라즈마 에칭에 대해 매우 저항성일 수 있으며, 물품은 높은 굽힘 강도 및/또는 높은 열 충격 저항성과 같은 우수한 기계적 성질들을 가질 수 있다. 예를 들어, Al2O3은 높은 열-기계적 강도를 가지만, 또한 비교적 높은 알루미늄 오염 수준 및 낮은 플라즈마 저항성을 갖는다. 반대로, Y2O3 함유 세라믹들은 향상된 플라즈마 저항성 및 낮은 온-웨이퍼 수준 알루미늄 오염을 갖지만, 비교적 낮은 열-기계적 강도를 갖는다. 이에 따라, 물품은 제1 및 제2 세라믹 물질 중 어느 하나의 약점을 지니지 않으면서, 제1 세라믹 물질(예를 들어, Al2O3)의 유리한 성질들, 및 제2 세라믹 물질(예를 들어, Y2O3 함유 세라믹)의 유리한 성질들을 가질 수 있다.
코팅된 세라믹 물품의 성능 성질들은 비교적 높은 열적 능력(thermal capability)(예를 들어, 대략 150℃ 이하의 작업 온도들을 견딜 수 있는 능력), 비교적 긴 수명(예를 들어, 플라즈마 환경에서 사용될 때 대략 2년 이상), 낮은 온-웨이퍼 입자 및 금속 오염, 및 안정한 정전 척(electrostatic chuck)(ESC) 누출 전류 성능(예를 들어, 물품이 ESC일 때)을 포함할 수 있다.
예를 들어, 전도체 뚜껑들은 고온 적용들을 위한 반도체 제작에서 사용되는 부품들이며, 여기서 Al2O3의 뚜껑들을 형성시키는 것은 높은 열전도도 및 굽힘 강도를 제공한다. 그러나, 불소 화학 하에서, 노출된 Al2O3은 AlF 입자들 뿐만 아니라 Al 금속 오염 온-웨이퍼를 형성한다. 뚜껑의 플라즈마 대향 측면 상의 일 구체예에 따른 세라믹 코팅은 침식을 현저하게 감소시키고 Al 금속 오염을 감소시킬 수 있다.
다른 예에서, 반도체 제작 챔버들에서 사용하기 위한 유전체 샤워헤드들은 SiC 면판에 접합된 양극산화된 Al 베이스로 형성될 수 있다. SiC 면판은 웨이퍼 에치 균일성에 영향을 미치는 높은 침식율을 가질 수 있다. 또한, 양극산화된 Al 베이스에 면판의 접합은 플라즈마 노출로 인해 손상될 수 있으며, 이에 따라, 면판은 양극산화된 Al 베이스에 불균일하게 접합되어, 샤워헤드의 열적 균일성을 떨어뜨릴 수 있다. 일 구체예에 따른 세라믹 코팅은 접합 및 침식 난제들을 개선시키기 위하여 베어 Al 베이스(bare Al base) 위에 직접적으로 적용될 수 있다.
다른 예에서, 반도체 제작 챔버 라이너들(예를 들어, 챔버 라이너 키트들)은 플라즈마-노출 측면 상에 일 구체예에 따른 세라믹 코팅으로 코팅된 Al 기판 및 플라즈마-노출되지 않은 측면 상에 양극산화된 Al로 형성될 수 있다. 결과적으로, 세라믹 코팅은 온-웨이퍼 성능을 개선시킬 뿐만 아니라 코팅 다공도 수준을 기준으로 하여 세정 윈도우(cleaning window)를 확대시킬 수 있다.
용어들 "약" 및 "대략"이 본원에서 사용될 때에, 이러한 용어들은 제시된 공칭 수치가 ±10% 내에서 정확하다는 것을 의미하도록 의도된다. 또한, 일부 구체예들이 전도체 뚜껑들, 유전체 샤워헤드들, 및 반도체 제작을 위한 플라즈마 에칭기들에서 사용되는 챔버 라이너들을 참조로 하여 본원에서 기술된다는 것이 주지된다. 그러나, 이러한 플라즈마 에칭기들이 또한 마이크로-전자-기계 시스템(MEMS)) 소자들을 제작하기 위해 사용될 수 있는 것으로 이해될 것이다. 추가적으로, 본원에 기술된 세라믹 물품들은 플라즈마에 노출되는 다른 구조물들일 수 있다. 예를 들어, 세라믹 물품들은 플라즈마 에칭기, 플라즈마 세정기, 플라즈마 추진 시스템, 등의 세라믹 고리들, 벽들, 베이스들, 가스 분배 플레이트들, 샤워헤드들, 기판 유지 프레임들, 등일 수 있다.
또한, 구체예들은 본원에서, 플라즈마 풍부 공정들을 위한 공정 챔버에서 사용될 때 감소된 입자 오염을 야기시키는 물품들을 참조로 하여 기술된다. 그러나, 본원에서 논의되는 물품들이 또한, 다른 공정들을 위한 공정 챔버들, 예를 들어 플라즈마 강화 화학적 증기 증착(PECVD) 챔버들, 플라즈마 강화 물리적 증기 증착(PEPVD) 챔버들 및 플라즈마 강화 원자층 증착(PEALD) 챔버들, 뿐만 아니라, 비-플라즈마 에칭기들, 비-플라즈마 세정기들, 화학적 증기 증착(CVD) 로들, 물리적 증기 증착(PVD) 로들, 등에서 사용될 때 감소된 입자 결함들 및 금속 오염을 제공할 수 있는 것으로 이해될 것이다.
도 1은 본 발명의 구체예들에 따른, 제작 시스템(100)의 예시적 구조를 예시한 것이다. 제작 시스템(100)은 세라믹 제작 시스템일 수 있다. 일 구체예에서, 제작 시스템(100)은 장비 자동화 층(115)에 연결된 가공 장비(101)를 포함한다. 가공 장비(101)는 비드 블라스터(bead blaster, 102), 하나 이상의 습식 세정기들(103), 세라믹 코팅기(104) 및/또는 하나 이상의 그라인더들(105)을 포함할 수 있다. 제작 시스템(100)은 장비 자동화 층(115)에 연결된 하나 이상의 컴퓨팅 디바이스(computing device)(120)를 추가로 포함할 수 있다. 대안적인 구체예들에서, 제작 시스템(100)은 보다 많거나 보다 적은 부품들을 포함할 수 있다. 예를 들어, 제작 시스템(100)은 장비 자동화 층(115) 또는 컴퓨팅 디바이스(120) 없이 수동으로 작동되는(예를 들어, 오프-라인) 가공 장비(101)를 포함할 수 있다.
비드 블라스터(102)는 반도체 제작 챔버들에서 사용하기 위한 부품들과 같은 물품들의 표면을 조면화시키기 위해 구성되는 기계이다. 비드 블라스터(102)는 비드 블라스팅 캐비넷(bead blasting cabinet), 휴대용 비드 블라스터, 또는 다른 타입의 비드 블라스터일 수 있다. 비드 블라스터(102)는 물품에 비드들 또는 입자들을 쏟아 부음으로써 물품을 조면화시킬 수 있다. 일 구체예에서, 비드 블라스터(102)는 물품에 세라믹 비드들 또는 입자들을 발사한다. 비드 블라스터(102)에 의해 달성된 거칠기는 비드들을 발사하기 위해 사용되는 힘, 비드 재료들, 비드 크기들, 및/또는 가공 기간을 기반으로 할 수 있다. 일 구체예에서, 비드 블라스터는 물품을 조면화시키기 위해 소정 범위의 비드 크기들을 사용한다.
대안적인 구체예들에서, 비드 블라스터(102)와는 다른 타입의 표면 조면기(surface roughener)들이 사용될 수 있다. 예를 들어, 전동 연마 패드는 물품들의 표면을 조면화시키기 위해 사용될 수 있다. 샌더(sander)는 연마 패드가 물품의 표면에 대해 가압되는 동안에 연마 패드를 회전시키거나 진동시킬 수 있다. 연마 패드에 의해 달성되는 거칠기는 가해진 압력, 진동 또는 회전 속도, 및/또는 연마 패드의 거칠기에 의존적일 수 있다.
습식 세정기들(103)은 습식 세정 공정을 사용하여 물품들(예를 들어, 반도체 제작을 위해 사용되는 물품들)을 세정하는 세정 기구들이다. 습식 세정기들(103)은 액체들로 채워진 습윤 베쓰들을 포함하며, 여기서 물품은 물품을 세정하기 위해 함침된다. 습식 세정기들(103)은 세정 효율을 개선시키기 위해 세정 동안 초음파들을 사용하여 습윤 베쓰를 교반시킬 수 있다. 이는 본원에서 습윤 베쓰를 초음파처리하는 것으로서 지칭된다.
일 구체예에서, 습식 세정기들(103)은 탈이온(DI) 수의 베쓰를 사용하여 물품들을 세정하는 제1 습식 세정기, 및 아세톤의 베쓰를 사용하여 물품들을 세정하는 제2 습식 세정기를 포함한다. 두 가지 습식 세정기들(103) 모두는 세정 공정들 동안 베쓰들을 초음파처리할 수 있다. 습식 세정기들(103)은 가공 동안 다중 스테이지들에서 물품을 세정할 수 있다. 예를 들어, 습식 세정기들(103)은 물품이 조면화된 후, 세라믹 코팅이 물품에 적용된 후, 가공 시에 물품이 사용된 후, 등에서 물품을 세정할 수 있다.
다른 구체예들에서, 대안적인 타입들의 세정기들, 예를 들어 건식 세정기들은 물품들을 세정하기 위해 사용될 수 있다. 건식 세정기들은 열을 가함으로써, 가스를 적용함으로써, 플라즈마를 적용함으로써, 등에 의해 물품들을 세정할 수 있다.
세라믹 코팅기(104)는 반도체 제작에서 사용하기 위한 기판 또는 물품의 표면에 세라믹 코팅을 적용하기 위해 구성된 기계이다. 일 구체예에서, 세라믹 코팅기(104)는 플라즈마가 물품 상으로 세라믹 코팅을 분사하는 플라즈마 분사기(plasma sprayer)이다.
대안적인 구체예들에서, 세라믹 코팅기(104)는 다른 용사 기술들, 예를 들어 폭발 분사(detonation spraying), 와이어 아크 분사(wire arc spraying), 고속 산소 연료(HVOF) 분사, 화염 분사, 고온 분사(warm spraying) 및 저온 분사(cold spraying)를 적용할 수 있고, 이러한 분사가 사용될 수 있다. 추가적으로, 세라믹 코팅기(104)는 다른 코팅 공정들, 예를 들어 에어로졸 증착, 전기도금, 물리적 증기 증착(PVD), 이온 보조 증착(IAD) 및 화학적 증기 증착(CVD)을 수행할 수 있고, 이는 세라믹 코팅을 형성시키기 위해 사용될 수 있다.
그라인더들(grinder)(105)은 물품의 표면을 그라인딩하고/거나 폴리싱하는 연마 디스크를 갖는 기계들이다. 그라인더들(105)은 폴리싱/그라인딩 시스템(polishing/grinding system), 예를 들어 거친 래핑 스테이션(rough lapping station), 화학적 기계적 평면화(chemical mechanical planarization; CMP) 디바이스, 등을 포함할 수 있다. 그라인더들(105)은 회전하는 동안 물품에 대해 가압되는 연마 디스크 또는 폴리싱 패드(polishing pad), 및 물품을 유지시키는 플레이트를 포함할 수 있다. 이러한 그라인더들(105)은 세라믹 코팅의 거칠기를 감소시키고/거나 세라믹 코팅의 두께를 감소시키기 위해 세라믹 코팅의 표면을 그라인딩한다. 그라인더들(105)은 다단계들로 세라믹 코팅을 그라인딩/폴리싱할 수 있으며, 여기서 각 단계는 약간 상이한 거칠기 및/또는 상이한 슬러리(예를 들어, CMP가 사용되는 경우)를 갖는 연마 패드를 사용한다. 예를 들어, 높은 거칠기를 갖는 제1 연마 패드는 세라믹 코팅을 요망되는 두께로 빠르게 그라인딩하기 위해 사용될 수 있으며, 낮은 거칠기를 갖는 제2 연마 패드는 세라믹 코팅을 요망되는 거칠기로 폴리싱하기 위해 사용될 수 있다. 일 예에서, 라이너 키트(liner kit) 상의 세라믹 코팅의 두께는 약 8 내지 12 mil일 수 있으며, 코팅 거칠기는 약 180 내지 250 μin일 수 있다. 다른 예에서, 뚜껑 상의 세라믹 코팅의 두께는 약 8 내지 10 mil일 수 있으며, 코팅 거칠기는 약 6 내지 12 μin일 수 있다. 또 다른 구체예에서, 세라믹 코팅의 두께는 샤워헤드의 경우에 약 25 mil이며, 코팅 거칠기는 약 180 내지 250 μin이다. 일 구체예에서, 세라믹 코팅은 약 8 내지 12 mil(1인치의 천분의 1)의 폴리싱후 두께(post-polished thickness), 약 6 내지 12 μin의 폴리싱후 거칠기(post-polished roughness)를 갖는다.
그라인더들(105)은 추가적으로 세라믹 코팅을 소정 각도로 그라인딩하는 각 그라인더(angle grinder)를 포함할 수 있다. 각 그라인더는 물품에 대해 소정 각도로 유지되는 연마 디스크 또는 패드를 갖는다. 각 그라인더는 세라믹 코팅을 다듬고, 세라믹 코팅과 물품 사이에 챔퍼들(chamfer), 둥근 에지들 또는 다른 경사진 전이부들(sloped transition)을 형성시킬 수 있다.
장비 자동화 층(115)은 제작 기계들(101)의 일부 또는 전부를 컴퓨팅 디바이스들(120)과, 다른 제작 기계들과, 계측 툴들(metrology tool) 및/또는 다른 디바이스들과 상호 연결할 수 있다. 장비 자동화 층(115)은 네트워크(예를 들어, 근거리 통신망(location area network; LAN)), 라우터들(router), 게이트웨이들(gateway), 서버들(server), 데이타 저장장치들(data store), 등을 포함할 수 있다. 제작 기계들(101)은 SEMI 장비 통신 표준/일반 장비 모델(SECS/GEM) 인터페이스를 통해, 이터넷 인터페이스를 통해, 및/또는 다른 인터페이스들을 통해 장비 자동화 층(115)에 연결할 수 있다. 일 구체예에서, 장비 자동화 층(115)은 공정 데이타(예를 들어, 공정 진행(process run) 동안 제작 기계들(101)에 의해 수집된 데이타)를 데이타 저장장치(미도시됨)에 저장할 수 있게 한다. 대안적인 구체예에서, 컴퓨팅 디바이스(120)는 제작 기계들(101) 중 하나 이상에 직접적으로 연결한다.
일 구체예에서, 일부 또는 모든 제작 기계들(101)은 공정 레시피들(process recipe)을 로딩하고, 저장하고, 실행할 수 있는 프로그래밍 가능한 제어기를 포함한다. 프로그래밍 가능한 제어기는 제작 기계들(101)의 온도 설정들, 가스 및/또는 진공 설정들, 시간 설정들, 등을 제어할 수 있다. 프로그래밍 가능한 제어기는 메인 메모리(예를 들어, 판독 전용 메모리(read-only memory; ROM), 플래시 메모리, 동적 랜덤 액세스 메모리(DRAM), 정적 랜덤 액세스 메모리(SRAM), 등), 및/또는 제2 메모리(예를 들어, 데이타 저장 디바이스, 예를 들어 디스크 드라이브)를 포함할 수 있다. 메인 메모리 및/또는 제2 메모리는 본원에 기술된 열처리 공정들을 수행하기 위한 명령들을 저장할 수 있다.
프로그래밍 가능한 제어기는 또한, 명령들을 실행하기 위해 메인 메모리 및/또는 제2 메모리에 (예를 들어, 버스(bus)를 통해) 연결된 가공 디바이스를 포함할 수 있다. 가공 디바이스는 범용 가공 디바이스, 예를 들어 마이크로프로세서, 중앙처리장치, 등일 수 있다. 가공 디바이스는 또한, 특수-목적 가공 디바이스, 예를 들어 주문형 집적회로(application specific integrated circuit; ASIC), 필드 프로그래밍 가능한 게이트 어레이(field programmable gate array ; FPGA), 디지털 신호 프로세서(DSP), 네트워크 프로세서, 등일 수 있다. 일 구체예에서, 프로그래밍 가능한 제어기는 프로그래밍 가능한 논리 제어기(PLC)이다.
일 구체예에서, 제작 기계들(101)은 제작 기계들이 물품을 조면화시키고/거나 물품을 코팅하고/거나 물품을 기계처리(예를 들어, 그라인딩 또는 폴리싱)하게 하는 레시피들을 실행시키도록 프로그래밍된다. 일 구체예에서, 제작 기계들(101)은 도 2를 참조로 하여 기술되는 바와 같이, 세라믹 코팅된 물품을 제작하기 위해 다단계 공정의 작업들을 수행하는 레시피들을 실행하도록 프로그래밍된다.
도 2는 본 발명의 구체예들에 따른, 세라믹 코팅된 물품을 제작하기 위한 공정(200)을 도시한 흐름도이다. 공정(200)의 작업들은 도 1에 기술된 바와 같이, 다양한 제작 기계들에 의해 수행될 수 있다.
블록(202)에서, 물품이 제공된다. 예를 들어, 물품은 Al2O3과 같은 전도성 재료로 형성된 반도체 제작 챔버용 뚜껑일 수 있다. 다른 예에서, 물품은 유전체 샤워헤드와 같은 반도체 제작 챔버에서 사용하기 위한 샤워헤드일 수 있으며, 이는 SiC 면판에 접합된 양극 산화된 Al 기반으로 형성된다. 또 다른 예에서, 물품은 Al로 형성된 챔버 라이너(예를 들어, 반도체 제작 챔버용 챔버 라이너 키트)일 수 있다.
물품은 벌크 세라믹, 예를 들어 Y2O3 (이트리아), Y4Al2O9 (YAM), Al2O3 (알루미나), Y3Al5O12 (YAG), 석영, YAlO3 (YAP), SiC (실리콘 카바이드), Si3N4 (실리콘 니트라이드), AlN (알루미늄 니트라이드), ZrO2 (지르코니아), AlON (알루미늄 옥시니트라이드), TiO2 (titania), TiC (티탄 카바이드), ZrC (지르코늄 카바이드), TiN (티탄 니트라이드), TiCN (티탄 카본 니트라이드), Y2O3 안정화된 ZrO2 (YSZ), 등으로부터 형성될 수 있다. 대안적으로, 물품은 금속, 예를 들어 알루미늄, 구리, 마그네슘 등으로 형성될 수 있는데, 이러한 금속은 양극 산화될 수 있거나 양극 산화되지 않을 수 있다. 물품은 또한 세라믹 복합체, 예를 들어 Al2O3-YAG 세라믹 복합체 또는 SiC-Si3N4 세라믹 복합체일 수 있다. 물품은 또한, 이트륨 옥사이드 (또한, 이트리아 또는 Y2O3으로 알려짐) 함유 고용체를 포함하는 세라믹 복합체일 수 있다. 예를 들어, 물품은 화합물 Y4Al2O9 (YAM) 및 고용체 Y2- xZrxO3 (Y2O3-ZrO2 고용체)로 이루어진 고성능 재료(HPM)일 수 있다. 순수한 이트륨 옥사이드 뿐만 아니라 이트륨 옥사이드 함유 고용체들이 ZrO2, Al2O3, SiO2, B2O3, Er2O3, Gd2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, 또는 다른 옥사이드들 중 하나 이상으로 도핑될 수 있다는 것이 주지된다. 일 구체예에서, 물품은 벌크 Al2O3으로서, 이는 세라믹 소결을 기초로 하여 형성될 수 있다.
블록(204)에서, 제공된 물품은 조면화되지 않는 부분들 또는 영역들을 덮기 위하여 마스킹된다. 궁극적으로 세라믹 코팅으로 코팅되지 않는 임의의 영역은 마스킹될 수 있다. 그러나, 일 구체예에서, 하드 마스크(예를 들어, 금속 마스크)는 이러한 영역을 마스킹하기 위해 사용된다. 일 구체예에서, 물품은 마스킹되지 않는다.
블록(206)에서, 물품은 비드 블라스터(또는 다른 세라믹 조면기)에 의해 조면화된다. 일 구체예에서, 비드 블라스터는 물품의 표면을 블라스팅하기 위해 세라믹 비드들을 사용한다. 세라믹 비드들은 대략 0.2 내지 2 mm의 비드 크기를 가질 수 있다. 일 구체예에서, 세라믹 비드들은 대략 0.2 내지 2 mm의 크기 범위를 갖는다. 비드 블라스터는 대략 30 내지 90 psi의 공기 압력 및 대략 50 내지 150 mm의 작업 거리로 물품을 비드 블라스팅할 수 있으며, 바디에 대한 블라스팅 각도(blasting angle)는 약 90도 또는 90도 보다 약간 낮을 수 있을 것이다. 비드 블라스터는 물품의 바디의 노출된 부분들(마스크에 의해 덮혀지지 않은 부분들)을 조면화시킬 수 있다.
일 구체예에서, 가공된 물품은 세라믹 기판 위에 대략 140 내지 240 μin, 및 금속 기판 위에 120 내지 180 μin의 블라스팅 후 거칠기를 갖는다. 물품을 최적의 거칠기로 조면화시키는 것은 물품에 대한 세라믹 코팅의 접착 강도를 개선시킬 수 있다. 그러나, 일 구체예에서, 물품은 조면화되지 않는다.
블록(208)에서, 물품은 세정된다. 물품은 하나 이상의 습식 세정기들을 사용하여 세정된다. 각 습식 세정기는 다양한 액체들, 예를 들어 탈이온(DI)수 및 아세톤을 갖는 하나 이상의 습식 베쓰들을 함유할 수 있다. 일 구체예에서, 제1 습식 세정기는, 최대 100%(예를 들어 약 20 kW)의 주파수 및 출력으로 DI수 베쓰를 초음파로 교반시키면서, DI수 베쓰에서 최대 10분 동안 물품을 세정하는 세정 레시피를 실행한다. 일 구체예에서, 제2 습식 세정기는 최대 100%(예를 들어 약 20 kW)의 주파수 및 출력으로 아세톤 베쓰를 초음파로 교반시키면서, 아세톤 베쓰에서 최대 10분 동안 물품을 세정하는 세정 레시피를 실행한다. 물품은 이후에 동일하거나 상이한 가공 파라미터들을 사용하여 제1 습식 세정기로 다시 세정될 수 있다. 이는 아세톤 베쓰에 의해 야기되는 임의의 잔류물을 제거할 수 있고, 입자들을 추가로 제거할 수 있다. 일 구체예에서, 물품은 두 개의 습식 세정기 모두에 의해 여러 차례 세정된다. 예를 들어, 물품은 DI 베쓰에서 세정되고, 이후에 아세톤 베쓰에서 세정되고, 이후에 DI 베쓰에서 세정되고, 이후에 아세톤 베쓰에서 세정되고, 이후에 DI 베쓰에서 세정될 수 있다.
블록(212)에서, 물품은 세라믹 코팅으로 코팅된다. 플라즈마 환경에 노출되는 물품의 측면은 코팅될 수 있다. 일 구체예에서, 플라즈마 분사기는 물품 상에 세라믹 코팅을 플라즈마 분사하기 위해 사용된다. 일 구체예에서, 코팅되지 않는 물품의 부분들은 코팅 이전에 마스킹된다.
혼합된 원료 세라믹 분말들은 물품 상에 분사된다. 물품은 플라즈마 분사 동안 대략 50 내지 70℃의 온도로 가열될 수 있다. 일 구체예에서, 대략 35 내지36.5 와트(W)의 플라즈마 출력은 물품을 플라즈마 분사하기 위해 사용되며, 다른 플라즈마 출력들이 또한 사용될 수 있다. 플라즈마 분사 공정은 다수의 분사 진행(spray pass)으로 수행될 수 있다. 일 구체예에서, 대략 35 내지 40 분사 진행들은 세라믹 코팅을 형성시키기 위해 적용된다. 일 예에서, 코팅은 대략 5 내지 50 mil의 두께를 가질 수 있다.
일 구체예에서, 세라믹 코팅은 이트륨 옥사이드 함유 세라믹 또는 용사 기술(thermal spraying technique)(예를 들어, 플라즈마 분사 기술)을 이용하여 세라믹 바디 상에 증착되는 다른 이트륨 함유 옥사이드이다. 용사 기술들(예를 들어, 플라즈마 분사 기술들)은 재료들(예를 들어, 세라믹 분말들)을 용융시키고 용융된 재료들을 물품 상에 분사시킬 수 있다. 용사되거나 플라즈마 분사된 세라믹 코팅은 약 20 마이크로미터(㎛) 내지 약 수 밀리미터(mm)의 두께를 가질 수 있다. 세라믹 코팅은 벌크 세라믹 재료들과는 상이한 구조적 성질들을 가질 수 있다.
일 구체예에서, 세라믹 코팅은 함께 혼합되는 Y2O3, Al2O3 및 ZrO2의 원료 세라믹 분말들로부터 형성된다. 이러한 원료 세라믹 분말들은 일 구체예에서 99.9% 이상의 순도를 가질 수 있다. 원료 세라믹 분말들은 예를 들어 볼 밀링을 사용하여 혼합될 수 있다. 원료 세라믹 분말들은 대략 0.5 내지 5 ㎛의 분말 크기를 가질 수 있다. 일 구체예에서, 원료 세라믹 분말들은 대략 1 ㎛의 분말 크기를 갖는다. 세라믹 분말들이 혼합된 후에, 이러한 것들은 대략 1200 내지 1600℃(예를 들어, 일 구체예에서, 1400℃)의 소성 온도 및 대략 5 내지 10일(예를 들어, 일 구체예에서, 3일)의 소성 시간으로 소성될 수 있다. 혼합된 분말에 대한 분무 건조된 과립 입자 크기는 대략 3 내지 50 ㎛의 크기 분포를 가질 수 있다. 일 구체예에서, 중간 크기는 약 15 ㎛이다. 다른 구체예에서, 중간 크기는 약 25 ㎛이다.
일 구체예에서, 세라믹 코팅은 약 45 mol% 내지 약 100 mol% 범위의 Y2O3, 약 0 mol% 내지 약 55 mol% 범위의 ZrO2, 및 약 0 mol% 내지 약 10 mol% 범위의 Al2O3로 형성된다. 일 구체예에서, 세라믹 코팅은 약 30 mol% 내지 약 60 mol% 범위의 Y2O3, 약 0 mol% 내지 약 20 mol% 범위의 ZrO2, 및 약 30 mol% 내지 약 60 mol% 범위의 Al2O3로 형성된다.
예를 들어, 세라믹 코팅 CC1은 약 37.5 mol%의 Y2O3 및 약 62.5 mol%의 Al2O3으로 형성될 수 있다. 다른 예의 세라믹 코팅 CC2는 약 53 mol%의 Y2O3, 약 37 mol%의 Al2O3, 및 약 10 mol%의 ZrO2로 형성될 수 있다. 다른 예에서, 세라믹 코팅 CC3은 약 41 mol%의 Y2O3, 약 47 mol%의 Al2O3, 및 약 12 mol%의 ZrO2로 형성될 수 있다. 또 다른 예에서, 세라믹 코팅 CC4는 약 73.13 mol%의 Y2O3, 및 및 약 26.87 mol%의 ZrO2로 형성될 수 있다.
표 1은 일 구체예에 따른 세라믹 코팅들 CC1, CC2, 및 CC3의 특징들을 나타낸 것이다.
Figure 112015093249401-pct00001
표 2는 일 구체예에 따른, wt ppm의 불순물들에 대한 수치들을 포함하는, 세라믹 코팅들 CC1, CC2, CC3, CC4에 대한 순도 데이타를 나타낸 것이다.
Figure 112015093249401-pct00002
세라믹 코팅은 대략 2 내지 10%(예를 들어, 일 구체예에서, 대략 5% 미만)의 다공도, 대략 3 내지 8 기가파스칼(GPa)(예를 들어, 일 구체예에서 대략 4 GPa 초과)의 경도, 및 대략 8 내지 20 메가파스칼(MPa)(예를 들어, 일 구체예에서 대략 10 MPa 초과)의 열 충격 저항성을 가질 수 있다. 추가적으로, 세라믹 코팅은 대략 4 내지 20 MPa(예를 들어, 일 구체예에서 대략 14 MPa 초과)의 접착 강도를 가질 수 있다. 접착 강도는 세라믹 코팅을 물품으로부터 박리할 때까지, 세라믹 코팅에 힘(예를 들어, 메가파스칼로 측정됨)을 가함으로써 결정될 수 있다.
일 구체예에서, 블록(212)에서 세라믹 코팅이 다듬어진다. 일 구체예에서, 세라믹 코팅의 에지들은 세라믹 코팅이 물품과 접하게 되는 곳에서 다듬어진다. 세라믹 코팅은 계면들에서 세라믹 코팅을 경사지게 하기 위하여 소정 각도로(예를 들어, 각 그라인더를 사용하여) 그라인딩될 수 있다. 다듬질(trimming)은 물품의 비-조면화된 부분들로부터 임의의 세라믹 코팅을 제거할 수 있다. 이는 박리를 최소화할 수 있다.
일 구체예에서, 블록(214)에서, 세라믹 코팅은 그라인딩되고/거나 랩핑되고/거나 폴리싱된다. 그라인딩/폴리싱은 세라믹 코팅의 두께를 감소시키고/거나 세라믹 코팅의 거칠기를 감소시킬 수 있다. 물품(세라믹 코팅을 포함함)은 전도체 에치를 수행하기 위해 사용되는 플라즈마 에칭기(또한, 플라즈마 에치 반응기로서 알려짐)에 대한 챔버에서 챔버 부품(예를 들어, 뚜껑)으로서 사용될 수 있다. 세라믹 코팅된 물품의 표면 거칠기를 최소화함으로써, 노출된 표면적은 감소되어, 감소된 온-웨이퍼 금속 오염을 야기시킬 것이다. 일 구체예에서, 세라믹 코팅은 대략 8 내지 10 mil의 폴리싱후 두께, 및 대략 6 내지 12 μin의 폴리싱후 거칠기를 갖는다.
블록(216)에서, 코팅된 물품은 세정된다. 물품은 하나 이상의 습식 세정기들을 사용하여 세정될 수 있다. 일 구체예에서, 제1 습식 세정기는 최대 100%(예를 들어, 20 kW)의 주파수 및 출력으로 DI수를 초음파로 교반시키면서, DI수 베쓰에서 최대 10분 동안 물품을 세정하는 세정 레시피를 실행한다. 일 구체예에서, 제2 습식 세정기는 최대 100%(예를 들어, 20 kW)의 주파수 및 출력으로 아세톤 베쓰를 초음파로 교반시키면서, 아세톤 베쓰에서 최대 10분 동안 물품을 세정하는 세정 레시피를 실행한다. 물품은 이후에 제1 습식 세정기로 다시 세정될 수 있다.
세정 후에, 물품은 입자들에 대해 시험될 수 있다. 입자 카운트(particle count)를 나타내는 측정된 파라미터들은 테이프 박리 시험 입자 카운트 및 액체 입자 카운트(LPC)이다. 테이프 시험은 접착 테이프를 세라믹 코팅에 부착시키고, 테이프를 박리시키고, 테이프에 접착하는 입자들의 수를 계수함으로써 수행될 수 있다. LPC는 물 베쓰(예를 들어, 탈이온(DI)수 베쓰)에 물품을 배치시키고 물 베쓰를 초음파처리함으로써 결정될 수 있다. 용액에서 제거되는 입자들의 수는 예를 들어 레이저 카운터를 이용하여 계수될 수 있다.
도 3은 본 발명의 구체예들에 따른, 제작 공정의 다른 스테이지들 동안의 물품의 단면 측면도(310-350)를 도시한 것이다. 일 구체예에서, 단면 측면도는 제작 공정(200)의 상이한 스테이지들 동안의 물품의 상태에 해당한다.
측면도(310)는 제공된 물품의 보호된 부분 위에 배치되는 하드 마스크(353)를 나타낸다. 제공된 물품은 금속 바디(예를 들어, 알루미늄 바디) 또는 세라믹 바디(예를 들어, Al2O3 바디)를 가질 수 있다. 측면도(310)는 방법(200)의 블록(202)을 완료한 후 물품의 상태를 도시한 것이다. 하드 마스크(353)는 비드 블라스팅 동안 보호된 부분이 조면화되는 것을 방지할 수 있다.
측면도(320)는 비드 블라스팅이 수행된 후의 물품(352)을 도시한 것이다. 물품(352)은 조면화된 표면(358)을 갖는데, 이는 비드 블라스팅 동안 보호되지 않은 물품의 부분에 해당한다. 물품(352)은 추가적으로 조면화되지 않은 물품의 부분에 해당하는 매끄러운 표면(357)을 갖는다. 도시된 바와 같이, 소프트 마스크(356)는 물품(352)이 조면화된 후에 물품(352) 상의 매끄러운 표면(357) 위에 배치된다. 소프트 마스크(356)는 하드 디스크(353)에 의해 이미 보호된 물품(352)의 동일한 영역을 덮기 위해 사용될 수 있다. 측면도(320)는 블록(212)의 완료 후에 물품의 상태를 도시한 것이다.
측면도(330)는 물품(352) 위에 예를 들어, 본원에 기술된 구체예들 중 하나에 따른, 세라믹 코팅(360)을 도시한 것이다. 도시된 바와 같이, 세라믹 코팅(360)은 거친 표면(362)을 갖는다. 이러한 거친 표면은 세라믹 물품이 가공에서 사용될 때 입자 오염의 소스일 수 있다. 추가적으로, 물품이 전도체 에치를 수행하는 플라즈마 에칭기에서 뚜껑으로서 사용될 수 있는 경우에, 거친 표면(362)은 스퍼터링을 일으킬 수 있다(예를 들어, 유도성 커플링으로 인함). 추가적으로, 세라믹 코팅은 소프트 마스크(356)가 존재하는 경우에 립(lip)(363) 및/또는 거친 에지들을 가질 수 있다. 립(363)은 세라믹 코팅(360)이 가공 동안 물품(352)으로부터 박리되게 할 수 있다. 추가적으로, 이러한 립은 입자 오염의 소스일 수 있다. 측면도(330)는 블록(215)의 완료 후에 물품의 상태를 도시한 것이다.
측면도(340)는 세라믹 코팅(360)의 에지들을 다듬은 후에 물품(352) 위의 세라믹 코팅(360)을 도시한 것이다. 측면도(340)는 블록(220)의 완료 후에 물품의 상태를 도시한 것이다. 도시된 바와 같이, 세라믹 코팅(360)은 이제 테이퍼드되거나(tapered) 모따기된(chamfered) 에지(366)를 갖는다.
측면도(350)는 세라믹 코팅(360)이 그라인딩되고 폴리싱된 후 물품(352) 위의 세라믹 코팅(360)을 도시한 것이다. 측면도(350)는 블록(222)의 완료 후 물품의 상태를 도시한 것이다. 도시된 바와 같이, 세라믹 코팅(360)의 거친 표면(362)은 매끄러워지며, 세라믹 코팅(360)의 두께는 감소된다.
도 4는 본 발명의 구체예들에 따른 세라믹 코팅들을 갖는 물품들의 샘플의 현미경 사진을 도시한 것이다. 현미경 사진(402)은 세라믹 코팅 CC1의 표면을 예시한 것이며, 현미경 사진(404)은 세라믹 코팅 CC2의 표면을 예시한 것이며, 현미경 사진(406)은 세라믹 코팅(CC3)의 표면을 예시한 것이며, 현미경 사진(408)은 세라믹 코팅(CC4)의 표면을 예시한 것이다. 현미경 사진(410)은 세라믹 코팅 CC1의 단면의 샘플을 도시한 것이며, 현미경 사진(412)은 세라믹 코팅 CC2의 단면의 샘플을 도시한 것이며, 현미경 사진(414)은 세라믹 코팅 CC3의 단면의 샘플을 도시한 것이며, 현미경 사진(416)은 세라믹 코팅 CC4의 단면의 샘플을 도시한 것이다.
도 5는 본 발명의 구체예들에 따라, 세라믹 코팅이 사용되기 전, 그리고 침식이 일어나도록 세라믹 코팅이 사용된 후에 다양한 배율 수준에서의 물품 상의 세라믹 코팅 CC1의 표면의 추가 현미경 사진들(502-512)을 도시한 것이다. 현미경 사진(502)은 물품이 사용되기 전, 1,000배 배율의 세라믹 코팅 CC1을 예시한 것이다. 현미경 사진(504)은 물품이 사용되기 전 4,000배 배욜의 세라믹 코팅 CC1을 예시한 것이다. 현미경 사진(506)은 물품이 사용되기 전 10,000배 배욜의 세라믹 코팅 CC1을 예시한 것이다.
현미경 사진(508)은 침식이 일어나도록 물품이 사용된 후 1,000배 배율의 세라믹 코팅 CC1을 예시한 것이다. 현미경 사진(510)은 침식이 일어나도록 물품이 사용된 후 4,000배 배율의 세라믹 코팅 CC1을 예시한 것이다. 현미경 사진(512)은 침식이 일어나도록 물품이 사용된 후 10,000배 배율의 세라믹 코팅 CC1을 예시한 것이다.
도 6은 본 발명의 구체예들에 따라, 세라믹 코팅이 사용되기 전, 그리고 침식이 일어나도록 세라믹 코팅이 사용된 후에 다양한 배율 수준에서의 물품 상의 세라믹 코팅 CC2의 표면의 추가 현미경 사진들(602-612)을 도시한 것이다. 현미경 사진(602)은 물품이 사용되기 전 1,000배 배율의 세라믹 코팅 CC2를 예시한 것이다. 현미경 사진(604)은 물품이 사용되기 전 4,000배 배율의 세라믹 코팅 CC2를 예시한 것이다. 현미경 사진(606)은 물품이 사용되기 전 10,000배 배율의 세라믹 코팅 CC2를 예시한 것이다.
현미경 사진(608)은 침식이 일어나도록 물품이 사용된 후에 1,000배 배율의 세라믹 코팅 CC2를 예시한 것이다. 현미경 사진(610)은 침식이 일어나도록 물품이 사용된 후에 4,000배 배율의 세라믹 코팅 CC2를 예시한 것이다. 현미경 사진(612)은 침식이 일어나도록 물품이 사용된 후에 10,000배 배율의 세라믹 코팅 CC2를 예시한 것이다.
도 7은 본 발명의 구체예들에 따라, 세라믹 코팅이 사용되기 전, 그리고 침식이 일어나도록 세라믹 코팅이 사용된 후에 다양한 배율 수준에서의 물품 상의 세라믹 코팅 CC3의 표면의 추가 현미경 사진들(702-712)을 도시한 것이다. 현미경 사진(702)은 물품이 사용되기 전 1,000배 배율의 세라믹 코팅 CC3을 예시한 것이다. 현미경 사진(704)은 물품이 사용되기 전 4,000배 배율의 세라믹 코팅 CC3을 예시한 것이다. 현미경 사진(706)은 물품이 사용되기 전 10,000배 배율의 세라믹 코팅 CC3을 예시한 것이다.
현미경 사진(708)은 침식이 일어나도록 물품이 사용된 후에 1,000배 배율의 세라믹 코팅 CC3을 예시한 것이다. 현미경 사진(710)은 침식이 일어나도록 물품이 사용된 후에 4,000배 배율의 세라믹 코팅 CC3을 예시한 것이다. 현미경 사진(712)은 침식이 일어나도록 물품이 사용된 후에 10,000배 배율의 세라믹 코팅 CC3을 예시한 것이다.
도 8은 CC1, CC2, CC3 및 대조군에 대한 일반화된 침식율들을 나타낸 것으로서, 여기서, CC2는 가장 낮은 일반화된 침식율을 나타낸다.
표 3은 세라믹 코팅들 CC1, CC2 및 CC3, 및 대조군 세라믹 코팅에 대한 침식율 비교들을 나타낸 것으로서, 여기서, CC2는 일반적으로 보다 낮은 침식율들을 나타낸다.
Figure 112015093249401-pct00003
본원에 기술된 세라믹 코팅들은 다른 세라믹 코팅들과 비교하여, H2 화학 하에서 높은 내침식성, 낮은 다공도, 및 내부식성에 대한 현저한 개선(예를 들어, HCl 버블 시간), 코팅의 표면 거칠기 감소, 및 증가된 파괴 전압을 제공한다.
상기 설명은 본 발명의 여러 구체예들의 양호한 이해를 제공하기 위하여, 여러 특정 세부사항, 예를 들어 특정 시스템들, 구성요소들, 방법들, 등의 예들을 기술한 것이다. 그러나, 당업자에게, 본 발명의 적어도 일부 구체예들이 이러한 특정 세부사항 없이 실행될 수 있다는 것은 자명할 것이다. 다른 경우들에서, 널리 공지된 구성요소들 또는 방법들은 상세하게 기술되지 않거나 본 발명을 불필요하게 모호하게 하는 것을 방지하기 위하여 단순한 블록 다이아그램 포맷으로 제시된다. 이에 따라, 기술된 특정 세부사항은 단지 예시적인 것이다. 특정 실행예들은 이러한 예시적인 세부사항으로부터 달라질 수 있으며, 이는 여전히 본 발명의 범위 내에 있는 것으로 고려된다.
본 명세서 전반에 걸쳐 "일 구체예 또는 "구체예"에 대한 언급은 본 구체예와 관련하여 기술된 특별한 특성, 구조, 또는 특징이 적어도 하나의 구체예에 포함된다는 것을 의미한다. 이에 따라, 본 명세서 전반에 걸쳐 다양한 곳들에서 구 "일 구체예에서" 또는 "구체예에서"의 출현은 반드시 모두 동일한 구체예를 지칭하는 것은 아니다. 또한, 용어 "또는"은 배타형 "또는" 보다는 오히려 포괄형 "또는"을 의미하는 것으로 의도된다.
본원의 방법들의 작업들이 특정 순서로 도시되고 기술되어 있지만, 각 방법의 작업들의 순서는, 특정 작업들이 역순서로 수행될 수 있거나 특정 작업이 적어도 일부, 다른 작업들과 동시에 수행될 수 있도록 변경될 수 있다. 다른 구체예에서, 별도의 작업들의 명령들 또는 하위-작업들은 간헐적 및/또는 교체 방식으로 이루어질 수 있다.
상기 설명이 예시적인 것으로서 제한적이지 않은 것으로 의도되는 것으로 이해될 것이다. 여러 다른 구체예들은 상기 설명을 읽고 이해할 때에 당업자에게 명백하게 될 것이다. 이에 따라, 본 발명의 범위는 이러한 청구항들이 권리를 갖는 균등물들의 전체 범위와 함께, 첨부된 청구항들을 참조로 하여 결정될 것이다.

Claims (15)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 바디를 제공하고;
    바디의 하나 이상의 표면을, 41 mol% 내지 53 mol% 범위의 Y2O3, 10 mol% 내지 12 mol% 범위의 ZrO2, 및 37 mol% 내지 47 mol% 범위의 Al2O3을 포함하는 세라믹 코팅으로 코팅하고;
    조면화된 표면 영역과 비조면화된 표면 영역 사이의 계면에서 세라믹 코팅을 다듬고;
    바디 표면 상의 세라믹 코팅이 6 내지 12 μin의 거칠기를 가질 때까지 바디 표면 상의 세라믹 코팅을 그라인딩 또는 폴리싱하는 것을 포함하는 물품을 제작하는 방법으로서,
    바디의 하나 이상의 표면이 조면화된 표면 영역 및 비조면화된 표면 영역을 포함하고, 상기 조면화된 표면 영역은 120 내지 240 μin의 제 1 표면 거칠기를 갖고 상기 비조면화된 표면 영역은 제 1 표면 거칠기보다 낮은 제 2 표면 거칠기를 가지고,
    바디의 하나 이상의 표면을 코팅하는 것이 비조면화된 표면 영역 상이 아닌 조면화된 표면 영역 상에 세라믹 코팅을 적용하는 것을 포함하며,
    세라믹 코팅을 다듬은 이후에, 세라믹 코팅은 조면화된 표면 영역과 비조면화된 표면 영역 사이의 계면에 테이퍼드(tapered) 에지 또는 모따기된(chamfered) 에지 중 하나 이상을 포함하는 방법.
  6. 제5항에 있어서, 코팅이 5 mil 내지 25 mil의 두께를 가지며, 바디의 하나 이상의 표면을 세라믹 코팅으로 코팅하는 것이 하나 이상의 표면 상으로 세라믹 코팅을 플라즈마 분사시키는 것을 포함하는 방법.
  7. 제5항에 있어서, 바디가 알루미늄, 구리, 또는 마그네슘 중 하나 이상을 포함하는 방법.
  8. 제5항에 있어서, 바디가 세라믹을 포함하는 방법.
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 바디; 및
    바디 표면 상의 세라믹 코팅으로서, 41 mol% 내지 53 mol% 범위의 Y2O3, 10 mol% 내지 12 mol% 범위의 ZrO2, 및 37 mol% 내지 47 mol% 범위의 Al2O3을 포함하고, 6 내지 12 μin의 거칠기를 갖는 세라믹 코팅을 포함하는 물품으로서,
    상기 바디 표면이 조면화된 표면 영역 및 비조면화된 표면 영역을 포함하고, 조면화된 표면 영역이 120 내지 240 μin의 제 1 표면 거칠기를 갖고 비조면화된 표면 영역이 제 1 표면 거칠기보다 낮은 제 2 표면 거칠기를 가지며,
    상기 세라믹 코팅은 비조면화된 표면 영역 상이 아닌 조면화된 표면 영역 상에 있고, 상기 세라믹 코팅은 조면화된 표면 영역과 비조면화된 표면 영역 사이의 계면에 테이퍼드 에지 또는 모따기된 에지 중 하나 이상을 포함하는 물품.
  14. 제13항에 있어서, 세라믹 코팅이 5 mil 내지 25 mil의 두께를 갖는 물품.
  15. 제13항에 있어서, 바디가 알루미늄, 구리, 또는 마그네슘 중 하나 이상을 포함하는 물품.
KR1020157026487A 2013-06-05 2014-05-20 반도체 적용을 위한 희토류 옥사이드 기반 내침식성 코팅 방법 및 물품 KR101773510B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361831424P 2013-06-05 2013-06-05
US61/831,424 2013-06-05
US13/954,808 2013-07-30
US13/954,808 US9865434B2 (en) 2013-06-05 2013-07-30 Rare-earth oxide based erosion resistant coatings for semiconductor application
PCT/US2014/038858 WO2014197203A1 (en) 2013-06-05 2014-05-20 Rare-earth oxide based erosion resistant coatings for semiconductor application

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020157026528A Division KR20150115953A (ko) 2013-06-05 2014-05-20 반도체 적용을 위한 희토류 옥사이드 기반 내침식성 코팅
KR1020177023683A Division KR102098926B1 (ko) 2013-06-05 2014-05-20 반도체 적용을 위한 희토류 옥사이드 기반 내침식성 코팅

Publications (2)

Publication Number Publication Date
KR20150122736A KR20150122736A (ko) 2015-11-02
KR101773510B1 true KR101773510B1 (ko) 2017-08-31

Family

ID=52005692

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020157026487A KR101773510B1 (ko) 2013-06-05 2014-05-20 반도체 적용을 위한 희토류 옥사이드 기반 내침식성 코팅 방법 및 물품
KR1020177023683A KR102098926B1 (ko) 2013-06-05 2014-05-20 반도체 적용을 위한 희토류 옥사이드 기반 내침식성 코팅
KR1020157026528A KR20150115953A (ko) 2013-06-05 2014-05-20 반도체 적용을 위한 희토류 옥사이드 기반 내침식성 코팅
KR1020207009670A KR102213756B1 (ko) 2013-06-05 2014-05-20 반도체 적용을 위한 희토류 옥사이드 기반 내침식성 코팅

Family Applications After (3)

Application Number Title Priority Date Filing Date
KR1020177023683A KR102098926B1 (ko) 2013-06-05 2014-05-20 반도체 적용을 위한 희토류 옥사이드 기반 내침식성 코팅
KR1020157026528A KR20150115953A (ko) 2013-06-05 2014-05-20 반도체 적용을 위한 희토류 옥사이드 기반 내침식성 코팅
KR1020207009670A KR102213756B1 (ko) 2013-06-05 2014-05-20 반도체 적용을 위한 희토류 옥사이드 기반 내침식성 코팅

Country Status (6)

Country Link
US (3) US9865434B2 (ko)
JP (3) JP6064060B2 (ko)
KR (4) KR101773510B1 (ko)
CN (3) CN110194681B (ko)
TW (1) TWI601637B (ko)
WO (1) WO2014197203A1 (ko)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US9687953B2 (en) 2014-06-27 2017-06-27 Applied Materials, Inc. Chamber components with polished internal apertures
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
FR3042137B1 (fr) * 2015-10-07 2017-12-01 Safran Piece de turbomachine revetue d'un revetement ceramique de protection, procede de fabrication et d'utilisation d'une telle piece
US10961620B2 (en) * 2016-03-04 2021-03-30 Beneq Oy Plasma etch-resistant film and a method for its fabrication
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
KR20190057753A (ko) * 2017-11-20 2019-05-29 (주)코미코 내플라즈마성 코팅막의 제조방법 및 이에 의해 형성된 내플라즈마성 부재
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US20200406222A1 (en) * 2018-03-08 2020-12-31 Beijing Naura Microelectronics Equipment Co., Ltd. Reaction chamber component, preparation method, and reaction chamber
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
CN109778102A (zh) * 2019-02-27 2019-05-21 中国科学院上海硅酸盐研究所 一种多层结构自修复热障涂层及其制备方法
CN111549310B (zh) * 2020-04-13 2021-01-15 南京深光科技有限公司 一种陶瓷粉体、掩膜版及其制作方法
KR20220099004A (ko) * 2021-01-05 2022-07-12 삼성전자주식회사 웨이퍼 처리 장치
US11837448B2 (en) 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
CN113617345B (zh) * 2021-08-13 2023-07-28 厦门欧米克生物科技有限公司 一种催化剂及其制备方法和应用
KR102522277B1 (ko) 2022-03-24 2023-04-17 주식회사 펨빅스 내플라즈마 2층 코팅막 구조물 및 이의 제조 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006207012A (ja) 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
US20110268956A1 (en) 2006-05-12 2011-11-03 Fundacion Inasmet Method for obtaining ceramic coatings and ceramic coatings obtained
US20130095340A1 (en) 2011-10-17 2013-04-18 NEW MATERIALS ( ARCI) DEPARTMENT OF SCIENCE AND TECHNOLOGY, Govt. of India Hybrid methodology for producing composite, multi-layered and graded coatings by plasma spraying utilizing powder and solution precursor feedstock

Family Cites Families (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
US4642440A (en) 1984-11-13 1987-02-10 Schnackel Jay F Semi-transferred arc in a liquid stabilized plasma generator and method for utilizing the same
US4704299A (en) 1985-11-06 1987-11-03 Battelle Memorial Institute Process for low temperature curing of sol-gel thin films
CN1036286A (zh) 1988-02-24 1989-10-11 珀金·埃莱姆公司 超导陶瓷的次大气压等离子体喷涂
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
JPH05238855A (ja) 1992-02-28 1993-09-17 Tokyo Electric Power Co Inc:The セラミックコーティング部材の製造方法
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
GB9410567D0 (en) 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5679167A (en) 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
WO1996011288A1 (en) 1994-10-05 1996-04-18 United Technologies Corporation Multiple nanolayer coating system
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5626923A (en) 1995-09-19 1997-05-06 Mcdonnell Douglas Corporation Method of applying ceramic coating compositions to ceramic or metallic substrate
US5766693A (en) 1995-10-06 1998-06-16 Ford Global Technologies, Inc. Method of depositing composite metal coatings containing low friction oxides
US5939219A (en) 1995-10-12 1999-08-17 Siemens Aktiengesellschaft High-temperature fuel cell having at least one electrically insulating covering and method for producing a high-temperature fuel cell
KR100471728B1 (ko) 1996-04-12 2005-03-14 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마 처리장치
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
EP0897019B1 (en) * 1997-07-18 2002-12-11 ANSALDO RICERCHE S.r.l. Method and device for forming porous ceramic coatings, in particular thermal barrier coatings, on metal substrates
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
JP2000001362A (ja) 1998-06-10 2000-01-07 Nippon Seratekku:Kk 耐食性セラミックス材料
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
CA2297543A1 (en) 1999-03-10 2000-09-10 Sulzer Metco Ag Method for the production of a coated structure which is suitable for carrying out heterogeneous catalyses
JP4544700B2 (ja) * 1999-07-29 2010-09-15 京セラ株式会社 真空容器及びその製造方法
EP1219141B1 (en) 1999-09-29 2010-12-15 Tokyo Electron Limited Multi-zone resistance heater
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP4548887B2 (ja) 1999-12-27 2010-09-22 京セラ株式会社 耐食性セラミック部材およびその製造方法
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
US6891263B2 (en) 2000-02-07 2005-05-10 Ibiden Co., Ltd. Ceramic substrate for a semiconductor production/inspection device
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4540221B2 (ja) 2000-04-21 2010-09-08 日本碍子株式会社 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材
CA2306941A1 (en) 2000-04-27 2001-10-27 Standard Aero Ltd. Multilayer thermal barrier coatings
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
EP1278631B1 (en) 2000-05-02 2008-10-15 Johns Hopkins University Method of making reactive multilayer foil and resulting product
DE10024137A1 (de) 2000-05-18 2001-11-22 Gert Schlueter Probenzylinder, insbesondere mit einer Filtriervorrichtung für die Wiedergewinnung von Zellmaterial aus Körperflüssigkeiten
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
DE60127035T2 (de) 2000-06-29 2007-11-08 Shin-Etsu Chemical Co., Ltd. Thermisches Sprühbeschichtungsverfahren und Pulver aus Oxyden der seltenen Erden dafür
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP4688307B2 (ja) 2000-07-11 2011-05-25 コバレントマテリアル株式会社 半導体製造装置用耐プラズマ性部材
WO2002040732A1 (en) 2000-11-15 2002-05-23 G.T. Equipment Technologies Inc. A protective layer for quartz crucibles used for silicon crystallization
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US6915964B2 (en) 2001-04-24 2005-07-12 Innovative Technology, Inc. System and process for solid-state deposition and consolidation of high velocity powder particles using thermal plastic deformation
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
TWI246873B (en) 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
JP2003031535A (ja) * 2001-07-11 2003-01-31 Mitsubishi Electric Corp 半導体製造装置の超音波洗浄方法
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
JP4277973B2 (ja) * 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
EP1432659A1 (en) 2001-08-02 2004-06-30 3M Innovative Properties Company Ceramic materials, abrasive particles, abrasive articles, and methods of making and using the same
JP4515255B2 (ja) * 2001-08-02 2010-07-28 スリーエム イノベイティブ プロパティズ カンパニー Al2O3−Y2O3−ZrO2材料
EP1430003A2 (en) 2001-08-02 2004-06-23 3M Innovative Properties Company al2O3-RARE EARTH OXIDE-ZrO2/HfO2 MATERIALS, AND METHODS OF MAKING AND USING THE SAME
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP5132859B2 (ja) 2001-08-24 2013-01-30 ステラケミファ株式会社 多成分を有するガラス基板用の微細加工表面処理液
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
TWI262905B (en) 2001-11-13 2006-10-01 Tosoh Corp Quartz glass parts, ceramic parts and process of producing those
JP2003212598A (ja) 2001-11-13 2003-07-30 Tosoh Corp 石英ガラス部品及びセラミック部品並びにそれらの製造方法
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6592948B1 (en) 2002-01-11 2003-07-15 General Electric Company Method for masking selected regions of a substrate
JP2003238250A (ja) 2002-02-12 2003-08-27 Yotai Refractories Co Ltd イットリア質耐火物
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
JP4153708B2 (ja) 2002-03-12 2008-09-24 東京エレクトロン株式会社 エッチング方法
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
US20030232139A1 (en) 2002-06-13 2003-12-18 Detura Frank Anthony Shield and method for spraying coating on a surface
CN101061082B (zh) 2002-06-14 2012-05-02 德累斯顿工业技术大学 用激光由非氧化的陶瓷型件制成气密的和耐高温的连接体的方法
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US7507481B2 (en) 2002-11-20 2009-03-24 Shin-Etsu Chemical Co., Ltd. Heat resistant coated member, making method, and treatment using the same
JP4208580B2 (ja) 2003-01-15 2009-01-14 日本碍子株式会社 複合焼結体およびその製造方法
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
US6753269B1 (en) 2003-05-08 2004-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for low k dielectric deposition
JP2004332081A (ja) 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
US7510641B2 (en) 2003-07-21 2009-03-31 Los Alamos National Security, Llc High current density electropolishing in the preparation of highly smooth substrate tapes for coated conductors
US7658816B2 (en) 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
DE60313042T2 (de) 2003-09-16 2008-01-03 Shin-Etsu Quartz Products Co., Ltd. Element für eine plasmaätzeinrichtung und verfahren zu dessen herstellung
US20050056056A1 (en) 2003-09-16 2005-03-17 Wong Marvin Glenn Healing micro cracks in a substrate
KR101084553B1 (ko) 2003-10-17 2011-11-17 토소가부시키가이샤 진공장치용 부품과 그 제조방법 및 그것을 이용한 장치
EP1690845A4 (en) 2003-10-31 2009-04-01 Tokuyama Corp ASSEMBLED ARTICLE BASED ON ALUMINUM NITRIDE AND PROCESS FOR PRODUCING THE SAME
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
JP4429742B2 (ja) 2004-01-21 2010-03-10 住友大阪セメント株式会社 焼結体及びその製造方法
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050199183A1 (en) * 2004-03-09 2005-09-15 Masatsugu Arai Plasma processing apparatus
JP4443976B2 (ja) 2004-03-30 2010-03-31 忠弘 大見 セラミックスの洗浄方法および高清浄性セラミックス
US7334330B2 (en) 2004-04-28 2008-02-26 Siemens Power Generation, Inc. Thermally insulating layer incorporating a distinguishing agent and method for inspecting the same
KR100588475B1 (ko) 2004-06-07 2006-06-09 한국화학연구원 폴리실록산계 화합물을 포함하는 고체 고분자 전해질 조성물
US7618769B2 (en) 2004-06-07 2009-11-17 Applied Materials, Inc. Textured chamber surface
JP2006027012A (ja) 2004-07-14 2006-02-02 Pioneer Electronic Corp 脱泡方法及びこれを用いた脱泡装置
US20060068189A1 (en) 2004-09-27 2006-03-30 Derek Raybould Method of forming stabilized plasma-sprayed thermal barrier coatings
WO2006137898A2 (en) 2004-10-01 2006-12-28 American Superconductor Corp. Thick superconductor films with improved performance
US8058186B2 (en) 2004-11-10 2011-11-15 Tokyo Electron Limited Components for substrate processing apparatus and manufacturing method thereof
JP2006199545A (ja) * 2005-01-21 2006-08-03 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US20060222777A1 (en) 2005-04-05 2006-10-05 General Electric Company Method for applying a plasma sprayed coating using liquid injection
JP2008545612A (ja) 2005-05-31 2008-12-18 コーニング インコーポレイテッド 細孔形成剤の組合せを含有するチタン酸アルミニウムセラミック形成バッチ混合物および未焼成体、および同混合物および未焼成体の製造および焼成方法
GB2423079B (en) 2005-06-29 2008-11-12 Tetronics Ltd Waste treatment process and apparatus
JP4813115B2 (ja) 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
WO2007013184A1 (ja) 2005-07-29 2007-02-01 Tocalo Co., Ltd. Y2o3溶射皮膜被覆部材およびその製造方法
JP2007126712A (ja) 2005-11-02 2007-05-24 Fujimi Inc 溶射用粉末及び溶射皮膜の形成方法
US20070119371A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US8290433B2 (en) 2007-11-14 2012-10-16 Blaze Mobile, Inc. Method and system for securing transactions made through a mobile communication device
US7622195B2 (en) 2006-01-10 2009-11-24 United Technologies Corporation Thermal barrier coating compositions, processes for applying same and articles coated with same
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
JP4398436B2 (ja) * 2006-02-20 2010-01-13 トーカロ株式会社 熱放射特性等に優れるセラミック溶射皮膜被覆部材およびその製造方法
US7648782B2 (en) 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
US20070264155A1 (en) 2006-05-09 2007-11-15 Brady Michael D Aerosol jet deposition method and system for creating a reference region/sample region on a biosensor
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US20080090034A1 (en) 2006-09-18 2008-04-17 Harrison Daniel J Colored glass frit
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US7919722B2 (en) 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US7862901B2 (en) 2006-12-15 2011-01-04 General Electric Company Yttria containing thermal barrier coating topcoat layer and method for applying the coating layer
US7983017B2 (en) 2006-12-26 2011-07-19 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method of forming
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
WO2008112260A2 (en) 2007-03-12 2008-09-18 Saint-Gobain Ceramics & Plastics, Inc. High strength ceramic elements and methods for making and using the same
JP4936948B2 (ja) 2007-03-27 2012-05-23 日本碍子株式会社 複合材料及びその製造方法
CN103102157A (zh) * 2007-04-27 2013-05-15 应用材料公司 降低暴露于含卤素等离子体表面的腐蚀速率的装置和方法
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP5047741B2 (ja) 2007-09-13 2012-10-10 コバレントマテリアル株式会社 耐プラズマ性セラミックス溶射膜
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
CA2658210A1 (en) 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
US8546284B2 (en) 2008-05-07 2013-10-01 Council Of Scientific & Industrial Research Process for the production of plasma sprayable yttria stabilized zirconia (YSZ) and plasma sprayable YSZ powder produced thereby
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US20090297718A1 (en) 2008-05-29 2009-12-03 General Electric Company Methods of fabricating environmental barrier coatings for silicon based substrates
KR20100005250A (ko) 2008-06-27 2010-01-15 이호영 히트펌프식 냉난방 및 냉온수 공급 시스템
WO2010019829A1 (en) * 2008-08-13 2010-02-18 Robert Stancel Impact resistant thin-glass solar modules
JP5537001B2 (ja) 2008-08-20 2014-07-02 株式会社アルバック 表面処理セラミックス部材、その製造方法および真空処理装置
US7929269B2 (en) 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
BRPI0913697A2 (pt) * 2008-09-25 2016-10-11 Invivo Therapeutics Corp lesão de medula, inflamação e doença auto-imuni: agentes teraupêuticos de liberação local controlada.
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
JP5545792B2 (ja) 2008-10-31 2014-07-09 株式会社日本セラテック 耐食性部材
JP2012507630A (ja) 2008-11-04 2012-03-29 プラクスエア・テクノロジー・インコーポレイテッド 半導体用途用の熱スプレーコーティング
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) * 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
CN102348643A (zh) 2009-01-22 2012-02-08 3M创新有限公司 表面改性的氧化锆纳米粒子
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
CN102388680B (zh) 2009-02-05 2015-07-08 苏舍美特科公司 等离子体涂覆设备和基材表面的涂覆或处理方法
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US8444737B2 (en) 2009-02-27 2013-05-21 Corning Incorporated Ceramic structures and methods of making ceramic structures
JP5001323B2 (ja) 2009-03-27 2012-08-15 トーカロ株式会社 白色酸化イットリウム溶射皮膜表面の改質方法および酸化イットリウム溶射皮膜被覆部材
EP2428592B1 (en) 2009-05-08 2019-12-11 Fuchita Nanotechnology Ltd. Method for forming zirconia film
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
JP2011002365A (ja) 2009-06-19 2011-01-06 Panasonic Electric Works Denro Co Ltd 電力監視システム
CN101992244A (zh) * 2009-08-13 2011-03-30 深圳富泰宏精密工业有限公司 金属高温成型模具及其制造方法
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
KR101400598B1 (ko) 2010-03-30 2014-05-27 엔지케이 인슐레이터 엘티디 반도체 제조 장치용 내식성 부재 및 그 제법
KR101221925B1 (ko) 2010-04-22 2013-01-14 한국세라믹기술원 플라즈마 저항성 세라믹 피막 및 그 제조 방법
WO2011150311A1 (en) 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US20120040100A1 (en) 2010-06-29 2012-02-16 Los Alamos National Security, Llc Solution deposition planarization method
US20120196139A1 (en) * 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US20120100299A1 (en) 2010-10-25 2012-04-26 United Technologies Corporation Thermal spray coating process for compressor shafts
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
KR101981766B1 (ko) 2011-06-02 2019-05-23 어플라이드 머티어리얼스, 인코포레이티드 정전기 척 aln 유전체 수리
KR101094725B1 (ko) 2011-06-24 2011-12-16 주식회사 펨빅스 산화이트륨 코팅막 및 산화이트륨 코팅방법
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
JP5496992B2 (ja) 2011-12-13 2014-05-21 中国電力株式会社 プラズマ溶射装置及びその制御方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006207012A (ja) 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
US20110268956A1 (en) 2006-05-12 2011-11-03 Fundacion Inasmet Method for obtaining ceramic coatings and ceramic coatings obtained
US20130095340A1 (en) 2011-10-17 2013-04-18 NEW MATERIALS ( ARCI) DEPARTMENT OF SCIENCE AND TECHNOLOGY, Govt. of India Hybrid methodology for producing composite, multi-layered and graded coatings by plasma spraying utilizing powder and solution precursor feedstock

Also Published As

Publication number Publication date
KR20150115953A (ko) 2015-10-14
JP6064060B2 (ja) 2017-01-18
US10734202B2 (en) 2020-08-04
CN110194681A (zh) 2019-09-03
WO2014197203A1 (en) 2014-12-11
KR20150122736A (ko) 2015-11-02
JP6678098B2 (ja) 2020-04-08
CN107546136A (zh) 2018-01-05
CN105074889A (zh) 2015-11-18
TW201511942A (zh) 2015-04-01
US20180102237A1 (en) 2018-04-12
CN110194681B (zh) 2023-04-18
JP2018040058A (ja) 2018-03-15
CN107546136B (zh) 2020-12-04
CN105074889B (zh) 2019-07-12
US20150270108A1 (en) 2015-09-24
KR20200038556A (ko) 2020-04-13
US20140363596A1 (en) 2014-12-11
JP2016516887A (ja) 2016-06-09
KR102098926B1 (ko) 2020-04-08
TWI601637B (zh) 2017-10-11
US9865434B2 (en) 2018-01-09
JP6971726B2 (ja) 2021-11-24
KR102213756B1 (ko) 2021-02-05
KR20170102037A (ko) 2017-09-06
JP2017100938A (ja) 2017-06-08

Similar Documents

Publication Publication Date Title
KR101773510B1 (ko) 반도체 적용을 위한 희토류 옥사이드 기반 내침식성 코팅 방법 및 물품
US9090046B2 (en) Ceramic coated article and process for applying ceramic coating
JP7035005B2 (ja) 高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料
US20130273313A1 (en) Ceramic coated ring and process for applying ceramic coating
KR102410645B1 (ko) 상 및 응력 조절을 이용한 플라즈마 스프레이 설계
CN107382376B (zh) 陶瓷物品
TW201515087A (zh) 使用電漿火焰熱處理之電漿噴塗增進
KR20150013625A (ko) 중요 챔버 구성요소들에 대한 플라즈마 스프레이 코팅 프로세스 향상
KR20180123166A (ko) 이트륨 옥시-플루오라이드를 침전시키는 세정 프로세스

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
A107 Divisional application of patent
GRNT Written decision to grant