KR100471728B1 - 플라즈마 처리장치 - Google Patents

플라즈마 처리장치 Download PDF

Info

Publication number
KR100471728B1
KR100471728B1 KR10-2004-7000576A KR20047000576A KR100471728B1 KR 100471728 B1 KR100471728 B1 KR 100471728B1 KR 20047000576 A KR20047000576 A KR 20047000576A KR 100471728 B1 KR100471728 B1 KR 100471728B1
Authority
KR
South Korea
Prior art keywords
antenna
plasma
chamber
processing
insulating material
Prior art date
Application number
KR10-2004-7000576A
Other languages
English (en)
Other versions
KR20040011600A (ko
Inventor
에다무라마나부
니시오료지
요시오카겐
가나이사부로
Original Assignee
가부시끼가이샤 히다치 세이사꾸쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시끼가이샤 히다치 세이사꾸쇼 filed Critical 가부시끼가이샤 히다치 세이사꾸쇼
Publication of KR20040011600A publication Critical patent/KR20040011600A/ko
Application granted granted Critical
Publication of KR100471728B1 publication Critical patent/KR100471728B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Mechanical Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

고주파 유도 플라즈마 에칭장치에 있어서, 고주파 전력이 급전되는 안테나와 챔버 사이를 적절한 두께의 절연재료에 의하여 절연함과 동시에, 안테나를 플라즈마 또는 플라즈마 처리용 반응성 가스로부터 보호하고, 플라즈마에 접하는 쪽의 표면을 알루미나나 석영 등의 절연재료로 피복한다. 절연재 및 안테나는 진공분위기에 배치되나, 절연재 및 안테나를 수용한 처리 챔버가 대기압과의 압력차에 견디므로, 절연재에는 플라즈마 분위기에 견디는 것만이 요구된다. 따라서, 절연재의 두께를 얇게 할 수 있고, 플라즈마를 균일 및 고밀도로 발생한다.
안테나와 그 주위에 배치한 절연재 사이의 간극을 극력 저감하거나 또는 간극의 압력을 처리 챔버 내의 압력에 가깝게 하여, 안테나에서 발생하는 열을 외부로 방열한다. 또는, He가스와 같은 비반응성 전열촉진가스를 수Torr 정도, 안테나의 주위에 형성된 미소 간극에 도입하여 안테나에서 발생한 열을 방열한다.

Description

플라즈마 처리장치{PLASMA TREATMENT DEVICE}
본 발명은, 반도체나 액정 디스플레이에 사용되는 기판 등의 제조에 사용되는 플라즈마 처리장치 및 플라즈마 처리방법에 관한 것으로서, 특히 에칭이나 성막 등의 처리에 적합한 플라즈마 처리장치 및 플라즈마 처리방법에 관한 것이다.
반도체 소자의 고집적화 및 반도체 웨이퍼의 대구경화나 액정 디스플레이의 대면적화에 따라, 반도체 소자 위에 에칭처리나 성막처리를 하는 처리장치에 요망되는 요구가, 해마다 엄격해지고 있다. 플라즈마 에칭장치, 플라즈마 CVD장치 및 플라즈마 애싱장치라는 플라즈마 처리장치에 있어서도 그 상황은 마찬가지이다. 즉, 스루풋을 향상시키기 위하여, 플라즈마의 고밀도화, 피처리물의 대면적화에 대한 대응 및 클린화 등의 실현이 중요과제로 되어 있다.
상기 플라즈마 처리장치에 사용되는 플라즈마원으로서, 고주파 용량결합형 플라즈마원, 마이크로파 ECR 플라즈마원 및 고주파 유도결합형 플라즈마원 등이 있으며, 이들은 그 특징을 살려 여러 가지 처리프로세스마다 구분하여 사용되고 있다. 이 세 가지 플라즈마원 중에서, 고주파 유도결합형 플라즈마원을 구비한 플라즈마 처리장치가 최근 급속하게 보급되고 있다.
유도결합형 플라즈마 처리장치의 일례가 일본국 특개 평2-235332호 공보에 나타나 있다. 이 유도결합형 플라즈마 처리장치에 있어서는, 챔버의 일부를 이루는 석영 등의 절연재를 거쳐 처리 챔버 밖으로 설치된, 루프, 코일 또는 나선형상의 고주파 안테나에 수백㎑ 내지 수백㎒의 고주파 전력을 급전(給電)하고, 안테나에 의하여 형성되는 유도자장이, 처리 챔버 내에 도입된 프로세스 가스에 에너지를 공급하여, 플라즈마를 발생 및 유지하고 있다. 또한, 고주파 유도결합형 플라즈마 처리장치에 있어서 안테나를 챔버 내에 설치하는 경우도 있으며, 예를 들어 일본국 특개 평7-106095호 공보에 기재된 예에서는, 고주파 유도 코일인 나선형의 안테나를 챔버 내의 피처리물인 반도체 웨이퍼에 대향하는 위치에 설치하고 있다. 이들 고주파 유도결합형 플라즈마 처리장치에서는, 플라즈마 중에 유도전류가 생기고 플라즈마와 고주파 안테나가 전기회로적으로 유도결합하고 있기(안테나를 1차 코일, 플라즈마 중의 전류를 2차 코일로 간주한 트랜스 회로) 때문에, 유도결합형 플라즈마 처리장치라고 불린다.
유도결합형 플라즈마 처리장치의 이점은, (1) 단순한 안테나와 고주파 전원이라는 간단하고 값싼 구성에 의해 수mTorr의 저압 하에서 1011 내지 1012(개/㎤)이라는 비교적 고밀도의 플라즈마를 발생할 수 있는 점, (2) 피처리물에 대향하여 평면적으로 코일을 배치함으로써 면적이 큰 플라즈마를 용이하게 발생시킬 수 있는 점, (3) 처리 챔버 내부가 간소하므로, 처리중에 피처리물 위로 날아오는 이물을 적게 할 수 있는 점 등에 있다. 이들 유도결합형 플라즈마 처리장치에서는, 저압력 하에서 고밀도의 플라즈마가 발생하고, 이온의 평균 자유 행정(行程)이 커진다. 이것에 의하여, 피처리물에 입사하는 이온의 방향을 가지런하게 할 수 있고, 미세가공에 적합한 높은 처리속도가 얻어진다.
그런데, 상기 일본국 특개 평2-235332호 공보에 기재된 유도결합형 플라즈마 처리장치에 있어서는, 처리 챔버 중의 플라즈마에 대하여 고주파 안테나를 석영 등의 절연재를 거쳐 대기쪽으로 배치하고 있다. 그 때문에, 절연재에는 대기압에 견딜 수 있는 충분한 강도가 필요하며, 피처리물이 대면적화하고 있는 현재 상황에서는 피처리물의 면적에 따라 절연재를 두껍게 할 필요가 있다.
또, 안테나와 플라즈마는, 유도결합 이외에 정전용량적으로도 결합한다. 그리고, 절연재가 플라즈마에 의하여 깎여지는 일이 빈번하게 발생한다. 따라서, 신뢰성을 충분히 높이기 위해서는 절연재를 두껍게 할 필요가 있다. 절연재가 두꺼워지면, 예를 들어 Jounal of Vacuum Science A11(5), Sept/Oct 1993, P.2487지 중의 Keller 등의 논문에 기재되어 있는 바와 같이, 플라즈마의 생성효율이 현저하게 저하하고, 플라즈마의 착화성, 안정성에 악영향을 준다.
한편, 일본국 특개 평7-106095호 공보에 개시된 플라즈마 처리장치에서는, 안테나를 챔버 내에 설치하였으므로, 상기의 생성효율의 과제는 어느 정도 해결되나, 이하에 서술하는 새로운 문제점이 생긴다.
고주파 안테나의 표면은 절연재료에 의하여 보호되고 있으나, 유도결합 플라즈마장치에 있어서는, 일반적으로 안테나의 바로 가까이에 강한 플라즈마가 생성되기 때문에, 특히 플라즈마 에칭장치와 같은 반응성의 가스를 사용하는 장치에서는, 보호막의 손상이 매우 크다. 안테나 자신은 금속제이므로, 보호막이 파손되면 금속이온이 발생하고 반도체 웨이퍼에 있어서 금속 오염이 생긴다. 또, 안테나 그 자체의 교환이 필요하게 되어 메인터넌스에 많은 시간과 비용을 필요로 한다는 문제점이 생긴다.
또한, 안테나의 배후에는 냉각 플레이트가 설치되어 있고, 이 플레이트를 안테나에 대하여 절연할 필요가 있다. 이와 같은 구조에서는, 냉각 플레이트를 안테나에 대하여 열적으로 밀착시키기 어렵다. 진공중 또는 플라즈마처리중과 같은 저압력 하에서는, 구조물의 접촉면에서의 열 전달은 매우 나쁘므로, 냉각 플레이트에 의한 안테나의 냉각효과를 그다지 기대할 수 없다는 다른 문제점이 있다.
또한, 피처리물과는 반대쪽에 설치한 안테나의 배후에도 피처리물쪽과 동일하게 높은 밀도의 플라즈마가 생성된다. 피처리물의 플라즈마 처리에는, 안테나 배후의 플라즈마는 유효하게 사용되지 않으므로, 실질적인 플라즈마 생성효율이 저하함과 동시에 배후의 챔버 벽이 강한 플라즈마에 노출된다는 다른 문제점이 생긴다.
본 발명은, 상기의 종래 기술의 과제 및 문제점을 해결하기 위하여 이루어진 것이다. 즉 본 발명의 목적은, 고주파 안테나를 대기쪽에 설치한 플라즈마 처리장치에 있어서는 플라즈마 생성효율의 과제, 처리 챔버 내에 설치한 플라즈마 처리장치에 있어서는 고주파 안테나의 표면 보호 및 냉각의 과제, 안테나 배후에 발생하는 플라즈마에 의한 효율 저하의 과제를 해결하고, 보다 넓은 운전조건 하에서 안정된 플라즈마를 높은 효율로 생성할 수 있는 플라즈마 처리장치를 제공하는 데에 있다. 또, 신뢰성이 높고 메인터넌스가 용이한 플라즈마 처리장치를 제공하는 것을 목적으로 한다.
상기 목적을 달성하기 위한 본 발명의 제 1 형태는, 상기 과제는, 고주파 안테나를 처리 챔버 내부에서 챔버와 일체 구조로 함으로써 해결된다. 고주파 전력이 급전되는 안테나는, 챔버와의 사이를 적절한 두께의 절연재료에 의하여 절연하고, 플라즈마 또는 플라즈마 처리용의 반응성 가스로부터 보호하기 위하여 플라즈마에 접하는 표면을 알루미나나 석영 등의 절연재료로 피복한다. 안테나는, 절연재를 개재하여 플라즈마에 접하게 되는데, 본 발명에서는, 대기압을 처리 챔버의 부분에서 가지게 하고 있으므로, 종래 기술의 대기쪽에 유도코일을 배치하는 플라즈마 처리장치에 사용되는 절연재의 석영창과는 달리, 이 절연재는 대기압에 견딜 필요가 없기 때문에 얇게 할 수 있다. 절연재는 대기압에 견디지 못하는 두께이기 때문에, 안테나와 그 주위의 절연재 사이에는 간극을 만들지 않도록 하거나 또는 이 간극 부분의 압력을 항상 처리 챔버 내의 압력에 가깝게 하는 것이 바람직하다. 실제는, 절연재와 안테나 사이에는 구조상 미소한 간극 또는 접촉면이 생기는 일이 있으나, 종래 기술의 항에서 서술한 바와 같이, 저압 하에서는 이 부분의 열 전달이 나쁘기 때문에 안테나가 가열되게 된다는 문제가 생긴다. 이 문제를 해결하기 위하여, 예를 들어 He 가스와 같은 비반응성 가스를 수Torr 정도, 안테나 주위의 간극에 도입하도록 하는 구조로 함으로써 안테나의 열을 직접 또는 간접적으로 냉각되는 근방의 절연재로 달아나게 하여 안테나의 가열 문제는 해결되고, 이 정도의 압력에 대해서는 플라즈마와 안테나 사이의 절연재를 그만큼 두껍게 할 필요도 없다.
본 발명의 플라즈마 처리장치에 의하면, 플라즈마의 생성효율이 향상하고 보다 넓은 운전조건 하에서 안정된 플라즈마를 생성할 수 있다. 또, 안테나를 보호하는 절연재가 깎여져 감소되더라도 절연재만을 교환하면 되므로, 종래 기술의 안테나에 비하여 메인터넌스성이 향상한다. 그 결과, 플라즈마 처리성능 및 장치의 가동률이 향상하고 하이 스루풋에서의 미세한 에칭가공이나 고품질의 성막 가공 및 표면처리가 가능해진다.
본 발명의 또다른 목적 및 효과는 이하의 상세한 설명에서 명확해질 것이다.
도 1에 본 발명의 플라즈마 처리장치의 일 실시예를 나타낸다. 처리 챔버(3)는, 예를 들어 표면을 알마이트처리한 알루미늄제의 진공용기로서 전기적으로 접지되어 있다. 처리 챔버(3)의 아래쪽에는 챔버 내의 가스를 흡인하기 위한 진공배기수단(6)이, 측부에는 피처리물인 반도체 웨이퍼(1)를 챔버 내에 반입 및 반출하기 위한 반송시스템(5)이 설치되어 있다. 처리 챔버(3) 내에는 반도체 웨이퍼(1)를 올려놓기 위한 전극(2)이 설치되어 있다. 반송시스템(5)에 의하여 처리 챔버 내에 반입된 반도체 웨이퍼(1)는, 전극의 대략 중앙부에 설치된 푸시 로드(13)에 의하여 전극(2) 위로 운반된 후, 직류전원(24)에 접속되어 전극(2) 내에 구비된 정전 척(15)에 의해 정전 흡착되어 수평방향위치 및 수직방향위치가 유지된다. 전극(2)은 알루미늄 또는 스테인레스 등의 금속재료로 형성된다. 정전 척(16)은, 예를 들어 알루미늄의 전극 위에 실리콘 카바이드 또는 산화 티타늄을 배합한 알루미나 등의 유전체재료를 1mm 정도의 두께 형성한 것이다. 정전 척(16)에 수백V 정도의 전압이 인가되면 반도체 웨이퍼(1)가 정전 척(16)에 정전 흡착된다. 또, 플라즈마 처리중의 반도체 웨이퍼(1)에 입사하는 이온의 에너지를 제어하기 위하여, 전극(2)에 정합기(11)를 개재하여 수백KHz 내지 수십MHz의 주파수의 고주파 전원(12)이 접속된다. 또한, 전극(2)의 내부에는, 플라즈마에 의하여 가열되는 처리중의 웨이퍼의 온도를 일정하게 유지하기 위하여 냉각용 냉매가 유통하는 냉매유로(15)가 형성되어 있다.
웨이퍼(1)와 전극(2) 사이에는, 저압력 하에서의 접촉면의 열 전달을 촉진시키기 위하여 He 등의 비반응성 가스가 수Torr 내지 십수Torr 정도, 유로(14)를 통하여 도입된다. 웨이퍼 재치(載置)면 이외의 전극 표면은, 절연재에 의해 생긴 서셉터(17) 및 커버(18) 등에 의하여 플라즈마나 반응성 가스로부터 보호되어 있다.
한편, 웨이퍼와 대향하는 챔버 내의 위쪽위치에는, 본 발명의 특징적 구성인 고주파 안테나 시스템이 설치되어 있다. 소용돌이 형상의 안테나(9)를 알루미나 세라믹스 등의 절연재(25a, 25b, 25c)로 끼워 넣고, 웨이퍼(1)의 대향면에 수평 배치하고 있다. 안테나(9)의 중앙부는 전류도입단자(30)에 접속되고, 이 전류도입단자(30)에는 정합기(7), 이어서 고주파 전원(8)이 접속되어 있다. 고주파전원(8)의 주파수는 특별히 한정되지 않으나, 일반적으로는 수백kHz 내지 수백MHz이며 상용주파수인 13.56MHz가 가장 실용적이다. 절연재(25b)의 밑면에는 안테나(9)를 수납하기 위하여 안테나의 형상에 따른 홈이, 윗면에는 냉매를 흐르게 하는 유로(26)가 형성되어 있다.
안테나(9)를 끼워 넣은 절연재(25a)의 밑면에는, 도 2의 사시분해도에 나타낸 바와 같이, 패러디 실드(28)가 설치되어 있다. 패러디 실드(28)는 방사상으로 슬릿이 형성된 얇은 금속판으로서 전류도입단자(40)에 접속되어 있고, 이 전류도입단자(40)와 용기 바깥에 설치된 스위치(39)가 접속되어 있다. 그리고, 스위치(39)의 일단쪽은 전기적으로 접지되어 있다. 실드(28)는, 안테나(9)와 플라즈마(4)가 전기회로적으로 용량 결합하는 것을 방지하는 것으로서, 석영 등으로 이루어지는 절연커버(29)가 깎여져 감소되는 것을 방지한다. 스위치(39)는, 플라즈마의 착화의 문제를 해결하기 위하여 설치되어 있다. 즉, 절연커버(29)의 깎임을 방지하기 위해서는 안테나와 플라즈마의 용량적인 결합을 방지할 필요가 있으나, 반면 플라즈마가 최초로 착화할 때에는 이 용량결합성분이 필요하다. 따라서, 플라즈마가 착화하기 전에는 스위치(39)를 절단하여 실드판(28)을 어스로부터 뜨게 해 두고, 플라즈마가 착화한 후에는 스위치(39)를 투입하여 실드로서 기능하게 한다. 이에 의하여, 커버재의 깎임의 방지와 착화성의 양 기능을 확보할 수 있다.
일반적인 플라즈마 에칭장치에서는, 웨이퍼를 유지하는 전극에 고주파를 인가하여 웨이퍼에 음전위의 바이어스를 생기게 하고 있다. 그러나, 이 바이어스의 웨이퍼면 내 분포는 자주 불균일하게 되고 있다. 이 불균일은 웨이퍼(1)에 대향한 위치에 튼튼한 어스를 설치함으로써 해결할 수 있으나, 실드판(28)은 이 대향어스로서도 기능하므로, 웨이퍼에 균일한 바이어스를 걸 수 있다.
안테나(9)의 밑면을 피복하는 절연커버(29)의 대략 중앙부에는 처리가스의 토출구(31)가 형성되어 있다. 처리가스는, 챔버의 측면에 설치된 처리가스의 도입배관(10)으로부터 절연재(25a), 커버판(29) 사이를 거쳐 챔버(3) 내에 샤워 형상으로 도입된다. 절연재(25a, 25b, 25c)와 안테나(9)는, 완전히 일체구조로 하는 것이 바람직하나, 알루미나 세라믹스의 가공 치수 정밀도를 싼값에 고정밀도화할 수 없는 점, 금속과 세라믹스의 열 팽창이 다른 점 등 때문에, 안테나(9)와 절연재(25b) 사이에는 적어도 0.1mm 오더의 간극이 생긴다. 그 결과, 이 간극 근방에서의 열 전달이 저하하여, 안테나(9)에서 발생한 열은 냉매유로(26)로 거의 달아나지 못한다. 본 실시예에서는 전열 촉진을 위하여, 전극(2)과 웨이퍼(1) 사이의 경우와 동일하게, 가스공급수단(37)에 격납된 He, Ar, Xe 등의 희석가스나 질소가스 등의 비반응성 전열촉진가스를 수Torr 정도, 안테나(9) 및 절연재(25b)의 간극에 도입한다.
상기한 바와 같이, 플라즈마 생성효율을 높이기 위해서는, 안테나(9)와 플라즈마(4)의 거리를 작게 할 필요가 있고, 그 때문에 절연재(25a)의 판 두께는 얇아 대기압에 견딜 수 없다. 그러나, 수mm 정도의 두께가 있으면 수Torr 정도의 압력에는 충분히 견딜 수 있다. 단, 챔버를 대기 개방하거나 돌연히 트러블이 발생하여 안테나 주위의 압력과 처리 챔버의 압력의 압력차가 커지면 절연재(25a)가 파손될 우려가 있다. 그래서, 항상 상기 압력을 압력계(33, 34)를 사용하여 모니터하여, 소정의 압력차가 생겼을 경우에 안전회로(32)가 밸브(35)를 개방하여 압력차를 해소한다. 본 실시예에 있어서는, 안테나용의 냉매(27a, 27b) 및 비반응성 가스를 공급하는 공급수단(37)을, 전극용 냉매(19a, 19b) 및 비반응성 가스를 공급하는 공급수단(23)과는 별도로 설치하고 있다. 그러나, 이들 공급수단을 공통화하여 처리장치 전체의 비용을 저감해도 된다. 또한, 공급수단(23)에는 공급유량을 조정하는 매스 플로우 미터(20) 및 밸브(21), 라인압력을 검지하는 압력계(22) 등이 접속되어 있다. 마찬가지로 가스공급수단(37)에도 매스 플로우 미터(38) 및 밸브(36)가 접속되어 있다.
도 3에, 안테나 부근을 확대한 모식도를 나타낸다. 안테나(9)에서 발생한 열(45)은, 안테나(8)부로 도입되어 37a, 간극부에 충전된 전열촉진가스(37b)에 의하여 절연재(25b)로 전달되고, 냉매유로(26)를 거쳐 외부로 운반된다. 이 공간(간극)은, 대기 및 플라즈마 발생공간에 대하여 기밀하게 형성되어 있고, 플라즈마 발생공간은 절연재(25a)를 O-링 밀봉하여 형성되어 있다. 전열촉진가스는, 소량이면 플라즈마 처리에 대하여 영향이 없으므로, 반드시 플라즈마 발생공간에 대하여 기밀할 필요가 없다. 또한, 도시생략하나, 절연재(25a, 25b)에 가스가 고루 미치도록 절연재의 표면에 홈이 형성되어 있다.
전열촉진가스를 이용함으로써 부차적인 효과도 생긴다. 예를 들어 에칭처리에 있어서는, 챔버의 온도가 중요한 파라미터의 하나로서, 특히 웨이퍼에 대향하는 면의 온도는 에칭처리에 강한 영향을 미친다. 따라서, 도 1에 나타낸 바와 같이 웨이퍼에 대향하는 커버재(29)에는 표면 온도를 모니터하는 온도검출수단(41)이 설치되어 있다. 온도검출수단(41)에 의하여 검출된 온도를, 전열촉진가스의 압력과 냉매의 유량에 피드백하여 커버재(29)의 온도를 조절한다.
또한, 수Torr 정도의 비반응성 가스를 안테나(9)의 주위에 도입하는 대신에, 예를 들어 실리콘 그리스 등의 액체 또는 점성체를 안테나(9)와 절연재(25b) 사이의 간극에 충전하거나 높은 열전도성의 에폭시 등으로 간극을 메워도 되나, 반도체 제조의 분야에서 사용할 수 있는 재질은 제한된다.
본 발명의 제 2 실시예를 도 4에 나타낸다. 이 실시예에 있어서는, 소용돌이 형상의 안테나(9)를 관(管)형상으로 형성하고, 그 속에 직접 냉각용 유체를 흐르게 하고 있다. 이와 같이 구성함으로써, 안테나의 냉각효율을 높일 수 있으나, 반면 고주파 전력이 부가되는 안테나 내에 냉매를 흐르게 할 필요가 있고 부식의 발생에 의한 신뢰성의 저하의 우려가 있다.
그런데, 도 1에 나타낸 실시예에 있어서는, 안테나(9)의 위에 설치한 절연재(25b, 25c)의 두께를 적절하게 설정할 필요가 있다. 안테나(9)에 의하여 형성된 변동자장범위에 금속제의 처리 챔버 벽이 존재할 경우, 플라즈마 중의 전자가 안테나에 의한 유도효과에 의하여 고주파 전원으로부터 에너지를 공급받아 가열된다. 그러나, 챔버의 금속에도 유도전류가 발생하여 전력이 소비되므로 생성효율이 저하한다.
또한, 상기 일본국 특개 평7-106095호 공보에 개시된 플라즈마 처리장치에서는, 안테나의 배후에도 플라즈마가 발생하므로 안테나와 배후의 챔버 사이의 거리를 걱정할 필요는 없다. 그러나, 안테나 배후에 발생하는 플라즈마는, 피처리물의 처리에 유효하게 사용되지 않을 가능성이 높고, 쓸데없는 플라즈마의 생성에 의하여 실질적인 플라즈마 생성효율이 낮아진다. 본 발명자들의 실험에 의하면, 적어도 안테나와 플라즈마 사이의 거리보다 안테나와 챔버 사이의 거리가 큰 것이 필요하고, 가능하면 2배 이상의 거리가 있는 것이 바람직하다. 즉, 안테나(9)의 밑면부터 커버(29)의 밑면까지의 거리가, 안테나(9)의 윗면으로부터 챔버(3)의 상부 내면까지의 거리의 2배 이상인 것이 바람직하다.
도 5에, 본 발명의 다른 실시예를 나타낸다. 본 실시예에 있어서는, 챔버(3)의 상부를 절연재(25c)로 구성하였으므로, 상기한 챔버를 구성하는 금속에 기인하는 플라즈마의 생성효율의 저하를 해소하고 있다. 이에 의하여, 안테나(9)의 상부의 절연재의 두께를 걱정할 필요가 없고 장치를 콤팩트하게 할 수 있다.
도 6에, 본 발명의 또다른 실시예를 나타낸다. 본 실시예에 있어서는, 안테나(9)는 안쪽과 바깥쪽의 2개로 구성되어 있고, 각 안테나는 1회전의 코일이다. 안테나에는 고주파 전력이 급전된다. 각각의 안테나에 대하여 적절하게 전력을 분배할 수 있는 정합회로(7)가 구비되어 있다. 정합회로(7)가, 안쪽과 바깥쪽의 안테나에 대한 급전비율을 바꾸고 플라즈마의 분포를 제어한다. 또한, 본 실시예에 있어서는, 실드판(28)에 대하여 접지/비접지의 전환이 가능하다. 또, 실드판(28)과 고주파 전원(43) 또는 직류전원(44)과의 접속도 가능하다. 이들 전력을 실드판(28)에 인가함으로써, 커버재(29)의 표면에 부착되는 반응생성물을 플라즈마 클리닝할 수 있는 효과가 있다.
도 7에 본 발명의 또다른 실시예를 나타낸다. 본 실시예에서는, 코일 형상의 안테나(9)가 챔버의 측면에 배치되어 있다. 따라서, 커버(29) 또는 실드(28) 등도 통(筒)형으로 형성되어 있으나, 도 1과 같이 웨이퍼의 대향위치에 배치한 경우와 동일한 효과가 있다. 단, 가스흐름의 대칭성을 유지하기 위하여, 처리가스의 토출구(31)를 웨이퍼와 대향하는 위치에 설치하는 것이 바람직하다.
도 8에, 본 발명의 또다른 실시예를 나타낸다. 본 실시예에 있어서는, 유도결합형 플라즈마 처리장치에 대하여 설명하나, 마이크로파 등의 높은 주파수를 이용한 안테나로부터의 전자파 방사형의 플라즈마 처리장치에도 동일하게 적용할 수 있다.
도 8에 있어서, 마그네트론(51)으로부터 도파관(53), 동축변환기(52) 및 동축선로(54)를 거쳐 안테나(9)에 수백MHz 내지 수GHz의 고주파 전력이 공급된다. 안테나(9)에서는 전자파가 방사되고, 안테나(9)의 옆쪽에 설치한 자장코일(49) 및 이 자장코일의 아래쪽에 설치한 보조코일(50)이 정자장을 형성한다. 상기 전자파와 이 정자장의 상호작용에 의하여 플라즈마가 생성된다. 안테나 근방의 구조는 도 1에 나타낸 실시예와 거의 동일하나, 유도결합형 플라즈마 처리장치는 아니므로, 패러디 실드(29)를 생략하고 있다. 이와 같은, 유도결합 플라즈마와는 전혀 다른 원리의 플라즈마 처리장치이더라도 안테나를 사용하는 장치라면 모두 본 발명을 적용할 수 있다.
이상, 본 발명의 실시예를 반도체 디바이스 제조용 플라즈마 에칭장치를 예로 들어 나타냈으나, 본 발명은, 플라즈마 에칭장치에 한정되는 것이 아니라, 플라즈마 CVD 장치, 플라즈마 애싱장치, 플라즈마 스퍼터 장치 등에 적용이 가능하다. 또, 반도체 디바이스의 처리뿐만 아니라, 액정 디스플레이 기판의 처리나 표면처리 전반에 적용이 가능하다. 또한, 플라즈마 발생방식도 유도결합형 플라즈마장치에 한정되지 않고, 안테나에서 전자파를 방사하는 타입의 플라즈마 발생방법이라면 여러 가지 장치에 적용 가능하다.
또한, 본 명세서에 기재한 바람직한 실시예는 예시적인 것이고 한정적인 것은 아니다. 발명의 범위는 첨부의 청구범위에 의하여 나타나 있고, 그들 청구범위의 의미 중에 들어가는 모든 변형예는 본 발명에 포함되는 것이다.
본 발명의 플라즈마 처리장치에 의하면, 플라즈마의 생성효율이 향상하고 보다 넓은 운전조건 하에서 안정된 플라즈마를 생성할 수 있다. 또, 안테나를 보호하는 절연재가 깎여져 감소되더라도 절연재만을 교환하면 되므로, 종래 기술의 안테나에 비하여 메인터넌스성이 향상한다. 그 결과, 플라즈마 처리성능 및 장치의 가동률이 향상하고 하이 스루풋에서의 미세한 에칭가공이나 고품질의 성막 가공 및 표면처리가 가능해진다.
도 1은 본 발명에 관한 플라즈마 처리장치의 일 실시예의 모식도로서 주요부를 종단면으로 나타낸 도,
도 2는 안테나부의 분해사시도,
도 3은 안테나 부근을 확대하여 나타낸 모식도,
도 4는 본 발명에 관한 플라즈마 처리장치의 다른 실시예의 모식도로서 주요부를 종단면으로 나타낸 도,
도 5 내지 도 8은 본 발명에 관한 플라즈마 처리장치의 또다른 실시예의 모식도로서 주요부를 종단면으로 나타낸 도.

Claims (6)

  1. 기밀하게 형성된 처리 챔버와, 이 처리 챔버에 설치되어 처리용 가스를 도입하는 가스도입수단과, 상기한 처리 챔버 내에 도입된 처리가스를 배기하는 배기수단과, 상기한 처리 챔버 내에 설치되어 피처리물을 올려 놓는 재치수단과, 플라즈마 발생의 전력을 공급하는 전력공급수단을 구비한 플라즈마 처리장치에 있어서,
    상기 전력공급수단에 플라즈마 발생용 안테나를 접속하고, 이 안테나를 상기 처리 챔버 내에 배치하되, 웨이퍼가 설치되는 측과 반대측에 위치하는 상기 안테나의 챔버 내벽측은 절연부재에 접촉하고, 이 절연부재는 챔버 내벽에 접촉하도록 하여 상기 안테나가 절연재를 거쳐 상기 처리 챔버 내벽에 접촉배치되도록 하고,
    상기 안테나와 플라즈마 발생공간 사이에, 도전성의 재료로 이루어지는 실드판을 설치한 것을 특징으로 하는 플라즈마 처리장치.
  2. 기밀하게 형성된 처리 챔버와, 이 처리 챔버에 설치되어 처리용 가스를 도입하는 가스도입수단과, 상기한 처리 챔버 내에 도입된 처리가스를 배기하는 배기수단과, 상기한 처리 챔버 내에 설치되어 피처리물을 올려 놓는 재치수단과, 플라즈마 발생의 전력을 공급하는 전력공급수단을 구비한 플라즈마 처리장치에 있어서,
    상기 전력공급수단에 플라즈마 발생용 안테나를 접속하고, 이 안테나를 상기 처리 챔버 내에 배치하되, 웨이퍼가 설치되는 측과 반대측에 위치하는 상기 안테나의 챔버 내벽측은 절연부재에 접촉하고, 이 절연부재는 챔버 내벽에 접촉하도록 하여 상기 안테나가 절연재를 거쳐 상기 처리 챔버 내벽에 접촉배치되도록 한 것을 특징으로 하는 플라즈마 처리장치.
  3. 제 2 항에 있어서,
    상기 안테나를, 상기 재치수단의 윗면에 대향하여 배치한 것을 특징으로 하는 플라즈마 처리장치.
  4. 제 2 항에 있어서,
    상기한 처리 챔버의 상기 안테나를 둘러싸는 부분을 절연재료로 형성한 것을 특징으로 하는 플라즈마 처리장치.
  5. 제 2 항에 있어서,
    상기 챔버 내의 상기 안테나와, 이 안테나의 주위에 설치한 절연재료로 구획되는 공간에, 적어도 대기압보다 낮은 압력의 비반응성 가스를 도입하는 수단을 설치한 것을 특징으로 하는 플라즈마 처리장치.
  6. 기밀하게 형성된 처리 챔버 내에 설치된 재치수단에 올려 놓여진 피처리물을 이 챔버 내에 설치된 플라즈마 발생수단이 발생한 플라즈마를 사용하여 플라즈마 처리하는 플라즈마 처리방법에 있어서,
    상기한 처리 챔버를 진공으로 한 후, 이 챔버내에 절연부재를 거쳐 접촉배치된 안테나를 사용하여 플라즈마를 발생시키고,
    상기 안테나는 챔버 벽면에 매립되어 형성된 것임을 특징으로 하는 플라즈마 처리방법.
KR10-2004-7000576A 1996-04-12 1996-04-12 플라즈마 처리장치 KR100471728B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP1996/001018 WO1997039607A1 (fr) 1996-04-12 1996-04-12 Dispositif de traitement au plasma

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-7013615A Division KR100428428B1 (ko) 1996-04-12 1996-04-12 플라즈마 처리장치

Publications (2)

Publication Number Publication Date
KR20040011600A KR20040011600A (ko) 2004-02-05
KR100471728B1 true KR100471728B1 (ko) 2005-03-14

Family

ID=14153198

Family Applications (2)

Application Number Title Priority Date Filing Date
KR10-2001-7013615A KR100428428B1 (ko) 1996-04-12 1996-04-12 플라즈마 처리장치
KR10-2004-7000576A KR100471728B1 (ko) 1996-04-12 1996-04-12 플라즈마 처리장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR10-2001-7013615A KR100428428B1 (ko) 1996-04-12 1996-04-12 플라즈마 처리장치

Country Status (3)

Country Link
US (1) US6245202B1 (ko)
KR (2) KR100428428B1 (ko)
WO (1) WO1997039607A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100864111B1 (ko) * 2006-05-22 2008-10-16 최대규 유도 결합 플라즈마 반응기
KR20150009941A (ko) * 2013-07-17 2015-01-27 램 리써치 코포레이션 공냉식 페러데이 차폐부 및 이를 사용하기 위한 방법들

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6280563B1 (en) * 1997-12-31 2001-08-28 Lam Research Corporation Plasma device including a powered non-magnetic metal member between a plasma AC excitation source and the plasma
KR100598631B1 (ko) * 1998-04-13 2006-07-07 도쿄 일렉트론 가부시키가이샤 임피던스가 감소된 챔버
JP4332263B2 (ja) * 1998-10-07 2009-09-16 エルジー ディスプレイ カンパニー リミテッド 薄膜トランジスタの製造方法
KR20010080572A (ko) * 1998-11-26 2001-08-22 가나이 쓰토무 드라이 에칭 장치 및 드라이 에칭 방법
US6523493B1 (en) * 2000-08-01 2003-02-25 Tokyo Electron Limited Ring-shaped high-density plasma source and method
US6447637B1 (en) * 1999-07-12 2002-09-10 Applied Materials Inc. Process chamber having a voltage distribution electrode
JP3379506B2 (ja) * 2000-02-23 2003-02-24 松下電器産業株式会社 プラズマ処理方法及び装置
US6531030B1 (en) * 2000-03-31 2003-03-11 Lam Research Corp. Inductively coupled plasma etching apparatus
US6422173B1 (en) * 2000-06-30 2002-07-23 Lam Research Corporation Apparatus and methods for actively controlling RF peak-to-peak voltage in an inductively coupled plasma etching system
US6592710B1 (en) * 2001-04-12 2003-07-15 Lam Research Corporation Apparatus for controlling the voltage applied to an electrostatic shield used in a plasma generator
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
US6830653B2 (en) * 2000-10-03 2004-12-14 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
JP4657473B2 (ja) * 2001-03-06 2011-03-23 東京エレクトロン株式会社 プラズマ処理装置
US6660177B2 (en) * 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
TWI241868B (en) * 2002-02-06 2005-10-11 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
US20080017316A1 (en) * 2002-04-26 2008-01-24 Accretech Usa, Inc. Clean ignition system for wafer substrate processing
US20080190558A1 (en) * 2002-04-26 2008-08-14 Accretech Usa, Inc. Wafer processing apparatus and method
US20080011332A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Method and apparatus for cleaning a wafer substrate
US7163603B2 (en) * 2002-06-24 2007-01-16 Tokyo Electron Limited Plasma source assembly and method of manufacture
US7371992B2 (en) 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
US7304263B2 (en) * 2003-08-14 2007-12-04 Rapt Industries, Inc. Systems and methods utilizing an aperture with a reactive atom plasma torch
US7297892B2 (en) * 2003-08-14 2007-11-20 Rapt Industries, Inc. Systems and methods for laser-assisted plasma processing
US7129731B2 (en) * 2003-09-02 2006-10-31 Thermal Corp. Heat pipe with chilled liquid condenser system for burn-in testing
US7013956B2 (en) 2003-09-02 2006-03-21 Thermal Corp. Heat pipe evaporator with porous valve
US20050067146A1 (en) * 2003-09-02 2005-03-31 Thayer John Gilbert Two phase cooling system method for burn-in testing
US20050067147A1 (en) * 2003-09-02 2005-03-31 Thayer John Gilbert Loop thermosyphon for cooling semiconductors during burn-in testing
US7691243B2 (en) * 2004-06-22 2010-04-06 Tokyo Electron Limited Internal antennae for plasma processing with metal plasma
JP2008511179A (ja) * 2004-08-24 2008-04-10 サン−ゴバン セラミックス アンド プラスティクス,インコーポレイティド 半導体処理の構成材およびそれを用いた半導体の処理
KR100661744B1 (ko) * 2004-12-23 2006-12-27 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR100661740B1 (ko) * 2004-12-23 2006-12-28 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR100697557B1 (ko) * 2005-02-24 2007-03-21 주식회사 에이디피엔지니어링 플라즈마 처리장치 및 온도조절판 제조방법
KR100907438B1 (ko) * 2007-01-15 2009-07-14 (주)제이하라 플라즈마 발생장치
KR101281191B1 (ko) * 2007-01-24 2013-07-02 최대규 유도 결합 플라즈마 반응기
KR101118492B1 (ko) * 2007-02-16 2012-03-12 램 리써치 코포레이션 유도 코일, 플라즈마 발생 장치 및 플라즈마 발생 방법
JP4887202B2 (ja) * 2007-04-17 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置及び高周波電流の短絡回路
KR100884334B1 (ko) * 2007-07-31 2009-02-18 세메스 주식회사 기판 처리 장치 및 방법
EP2053631A1 (fr) * 2007-10-22 2009-04-29 Industrial Plasma Services & Technologies - IPST GmbH Procédé et dispositif pour le traitement par plasma de substrats au défilé
JP5125447B2 (ja) * 2007-11-27 2013-01-23 株式会社島津製作所 イオンビーム処理装置
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
CN102027811B (zh) * 2008-05-22 2015-12-09 Emd株式会社 等离子体产生装置及等离子体处理装置
JP4621287B2 (ja) * 2009-03-11 2011-01-26 株式会社イー・エム・ディー プラズマ処理装置
JP5400434B2 (ja) * 2009-03-11 2014-01-29 株式会社イー・エム・ディー プラズマ処理装置
WO2012032596A1 (ja) * 2010-09-06 2012-03-15 株式会社イー・エム・ディー プラズマ処理装置
JP5462369B2 (ja) * 2010-09-10 2014-04-02 株式会社イー・エム・ディー プラズマ処理装置
US9398680B2 (en) * 2010-12-03 2016-07-19 Lam Research Corporation Immersible plasma coil assembly and method for operating the same
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20130220975A1 (en) * 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
KR101408643B1 (ko) * 2012-03-26 2014-06-17 주식회사 테스 플라즈마 처리장치
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20150284842A1 (en) * 2012-10-23 2015-10-08 Shincron Co., Ltd. Thin film formation apparatus, sputtering cathode, and method of forming thin film
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) * 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US20150318150A1 (en) * 2014-04-30 2015-11-05 Lam Research Corporation Real-time edge encroachment control for wafer bevel
KR20160012740A (ko) 2014-07-25 2016-02-03 엘아이지인베니아 주식회사 플라즈마 발생모듈 및 이를 포함하는 플라즈마 처리장치
KR20160066872A (ko) 2014-12-03 2016-06-13 인베니아 주식회사 플라즈마 처리장치용 안테나 어셈블리 및 이를 포함하는 플라즈마 처리장치
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
JP6839624B2 (ja) * 2017-07-19 2021-03-10 東京エレクトロン株式会社 被処理体の処理装置、及び、処理装置の検査方法
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11094508B2 (en) * 2018-12-14 2021-08-17 Applied Materials, Inc. Film stress control for plasma enhanced chemical vapor deposition
KR102189337B1 (ko) * 2019-07-17 2020-12-09 주식회사 유진테크 플라즈마 처리 장치

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0660414B2 (ja) * 1989-09-27 1994-08-10 株式会社芦田 Ecrプラズマcvd装置
JPH065555A (ja) * 1992-06-22 1994-01-14 Tokyo Electron Ltd プラズマ装置
US5433812A (en) * 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
JP2972477B2 (ja) * 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
JPH06236856A (ja) * 1993-02-09 1994-08-23 Hitachi Ltd プラズマ処理装置
JPH06275397A (ja) * 1993-03-20 1994-09-30 Tokyo Electron Ltd プラズマ発生方法及び装置並びにプラズマ処理装置
TW273067B (ko) * 1993-10-04 1996-03-21 Tokyo Electron Co Ltd
JP3050732B2 (ja) * 1993-10-04 2000-06-12 東京エレクトロン株式会社 プラズマ処理装置
JP3045443B2 (ja) * 1993-10-20 2000-05-29 東京エレクトロン株式会社 プラズマ処理装置
JP3337288B2 (ja) * 1993-10-20 2002-10-21 東京エレクトロン株式会社 プラズマ処理装置
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
JPH0850996A (ja) * 1994-08-05 1996-02-20 Aneruba Kk プラズマ処理装置
KR100290813B1 (ko) * 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100864111B1 (ko) * 2006-05-22 2008-10-16 최대규 유도 결합 플라즈마 반응기
KR20150009941A (ko) * 2013-07-17 2015-01-27 램 리써치 코포레이션 공냉식 페러데이 차폐부 및 이를 사용하기 위한 방법들
KR102306397B1 (ko) 2013-07-17 2021-09-29 램 리써치 코포레이션 공냉식 페러데이 차폐부 및 이를 사용하기 위한 방법들

Also Published As

Publication number Publication date
KR20020009597A (ko) 2002-02-01
KR20040011600A (ko) 2004-02-05
US6245202B1 (en) 2001-06-12
WO1997039607A1 (fr) 1997-10-23
KR100428428B1 (ko) 2004-04-28

Similar Documents

Publication Publication Date Title
KR100471728B1 (ko) 플라즈마 처리장치
KR101800649B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US6320320B1 (en) Method and apparatus for producing uniform process rates
KR0159178B1 (ko) 플라즈마처리 장치와 플라즈마처리 방법
US5683537A (en) Plasma processing apparatus
US6214162B1 (en) Plasma processing apparatus
US5685942A (en) Plasma processing apparatus and method
KR100745942B1 (ko) 유도 결합 플라즈마 처리 장치
US5432315A (en) Plasma process apparatus including ground electrode with protection film
US6518705B2 (en) Method and apparatus for producing uniform process rates
JP2006502556A (ja) 半導体ワークピースを処理するためのプラズマリアクタ
KR19980025047A (ko) 플라즈마 처리 장치
JPH10172792A (ja) プラズマ処理装置
KR102218686B1 (ko) 플라스마 처리 장치
US7601241B2 (en) Plasma processing apparatus and plasma processing method
JP4193255B2 (ja) プラズマ処理装置及びプラズマ処理方法
US20040163595A1 (en) Plasma processing apparatus
KR20000005308A (ko) 플라즈마 처리장치
JP7329131B2 (ja) プラズマ処理装置およびプラズマ処理方法
CN114171364B (zh) 半导体工艺设备
KR19990012237A (ko) 반도체 제조공정의 swp장치
IL159935A (en) Method and apparatus for producing uniform process rates

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120119

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20130118

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee