KR19980025047A - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR19980025047A
KR19980025047A KR1019970049131A KR19970049131A KR19980025047A KR 19980025047 A KR19980025047 A KR 19980025047A KR 1019970049131 A KR1019970049131 A KR 1019970049131A KR 19970049131 A KR19970049131 A KR 19970049131A KR 19980025047 A KR19980025047 A KR 19980025047A
Authority
KR
South Korea
Prior art keywords
high frequency
frequency power
bias
supply unit
power supply
Prior art date
Application number
KR1019970049131A
Other languages
English (en)
Inventor
치시오 코시미즈
Original Assignee
히가시 데츠로
도쿄 에레쿠토론 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 히가시 데츠로, 도쿄 에레쿠토론 가부시끼가이샤 filed Critical 히가시 데츠로
Publication of KR19980025047A publication Critical patent/KR19980025047A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 안테나 분할형의 고주파 유도 플라즈마 발생 장치에 관한 것으로, 본 발명에 따르면, 고주파 안테나의 헌팅(hunting)을 방지함과 동시에, 에칭의 선택성이나 에칭의 형상 제어를 개선할 수 있다.
안테나 분할형의 고주파 유도형 플라즈마 처리 장치(100)에 있어서, 처리실(102a)의 유전체(108) 상부에는 고주파 안테나(112)가 배치된다. 해당 안테나는 제 1 고주파 안테나(112a)와 그것과 소정 간격을 두고 배치되는 제 2 고주파 안테나(112b)로 구성된다. 피처리체 W는 처리실내에 배치된 하부 전극(106)상에 탑재된다. 제 1, 제 2 고주파 안테나 및/또는 하부 전극에는, 각각 위상 제어된 고주파 전력이 인가된다. 이들 고주파 전력으로서, 연속파의 고주파 전력, 또는 고주파 전력 펄스열(train)이 채용될 수 있다.

Description

플라즈마 처리 장치
본 발명은 플라즈마 에칭 장치, 애싱(ashing) 장치, 성막 장치, 스퍼터링 장치 등 각종 플라즈마 처리 장치에 관한 것으로, 특히 플라즈마 처리 장치내에 발생시키는 플라즈마의 균일성의 개선, 플라즈마의 발생 효율 향상, 처리 정밀도의 향상 및 피처리체가 받는 손상의 경감 등의 면에서 우수한 플라즈마 처리 장치에 관한 것이다.
플라즈마 처리 장치는, 예를 들어 반도체 집적 회로의 제조 장치에 있어서의 애싱 처리, 에칭 처리, CVD 처리, 스퍼터링 처리와 같이 여러가지 처리를 실행하는 장치가 알려져 있다. 이들의 장치에 있어서는, 플라즈마를 발생시키는 장치로서, 소용돌이 형상의 안테나를 이용하는 고주파 유도 플라즈마 발생 장치가 알려져 있다.
이 고주파 유도 플라즈마 발생 장치는, 예컨대 유럽 특허 공개 명세서 제 379828 호에 기재되어 있다. 이 유럽 특허 공개 명세서 제 379828 호에 기재된 고주파 유도형 플라즈마 발생 장치는 석영 유리 등의 절연물로 구성된 웨이퍼 탑재대와 대향하는 벽, 해당 절연물의 벽 외면에 고정된 소용돌이 형상의 안테나를 가지고 있으며, 이 안테나에 고주파 전류를 흘려 보내 형성한 고주파 전자장에 의해 처리용 가스를 전리(電離)시켜 플라즈마를 생성한다.
이 유럽 특허 공개 명세서 제 379828 호에는, 안테나 분할형의 고주파 유도형 플라즈마 발생 장치도 기재되어 있다. 이 안테나 분할형의 고주파 유도형 플라즈마 발생 장치는 플라즈마가 발생되는 발생 영역을 세밀하고 또한 광범위하게 제어하기 위해서, 해당 안테나는 2조의 소용돌이 형상 안테나에 의해 구성되며, 각 안테나에는 각각 고주파 전력이 인가된다.
해당 고주파 유도형 플라즈마 발생 장치는, 잭(jack)의 대전을 취하는 제전(除電) 프로세스, 챔버의 벽을 세정하는 세정 프로세스 및 에칭 프로세스 등을 위한 장치로서 이용된다.
해당 안테나 분할형의 고주파 유도 방식의 플라즈마 처리 장치는, 플라즈마를 균일하게 발생시키는 것이 곤란하다고 하는 기술적 과제, 분할된 안테나 사이에서 헌팅이 발생한다고 하는 기술적 과제를 가지고 있으며, 또한 에칭에 관한 선택성의 제어나 에칭 형상의 제어의 필요성도 발생하고 있다.
본 발명의 목적은 종래의 안테나 분할형의 고주파 유도 방식의 플라즈마 처리 장치가 갖는 상기 과제 등을 해결 또는 경감하는 데에 있다.
또한, 본 발명의 목적은 발생시키는 플라즈마의 균일성을 개선하는 데에 있다.
또한, 본 발명의 목적은 2조의 안테나 사이에서 헌팅이 발생하는 것을 방지하는 데에 있다.
또한, 본 발명의 목적은 플라즈마의 발생 효율을 높이는 데에 있다.
또한, 본 발명의 목적은 해당 플라즈마 처리 장치에 의해 플라즈마 에칭을 실시하는 경우, 에칭에 관한 선택성의 제어 및 에칭 형상의 제어를 가능하게 하는 데에 있다.
본 발명의 목적은 해당 플라즈마 처리 장치의 챔버를 플라즈마 클리닝할 때, 플라즈마가 발생하는 영역을 제어하여 임의의 영역을 클리닝하는 것 및 클리닝 시간을 단축하는 것을 가능하게 하는 데에 있다.
청구항 1 내지 청구항 18에 기재된 본 발명은, 플라즈마 처리실, 상기 플라즈마 처리실의 외주부에 배치된 제 1 고주파 안테나, 상기 플라즈마 처리실의 외주부에 있어서, 해당 제 1 고주파 안테나와 소정 간격을 두고 배치된 제 2 고주파 안테나, 상기 제 1 고주파 안테나 및 상기 제 2 고주파 안테나에 플라즈마 발생용의 고주파 전력을 공급하는 플라즈마 발생용의 고주파 전원 유닛, 상기 처리실내에 설치되고, 피처리체를 탑재하는 하부 전극(즉, 탑재대) 및 상기 하부 전극에 바이어스용의 고주파 전력을 공급하는 바이어스용 고주파 전원 유닛을 구비하는 플라즈마 처리 장치에 관한 것이다. 본 발명은 이 플라즈마 처리 장치에 있어서, 이후 기재하는 여러가지 특징점·개선점 중의 하나를 채용함으로써, 혹은 두개 이상을 조합하여 채용함으로써 상기 과제를 해결한다.
본 발명의 제 1 특징점·개선점은, 해당 플라즈마 발생용의 고주파 전원 유닛이 해당 제 1 고주파 안테나 및 해당 제 2 고주파 안테나의 각각에 공급되는 양 고주파 전력간의 위상을 제어하는 위상 제어 수단을 갖고 있다는 점이다.
이 특징점·개선점에 관련하여, 해당 위상 제어 수단은 플라즈마 발생용 고주파 전력(s)의 위상이 동일 위상으로 되도록 해당 위상을 제어한다는 점, 해당 플라즈마 발생용의 고주파 전원 유닛은 플라즈마 발생용의 고주파 전력으로서 연속파의 고주파 전력을 형성하는 유닛인 점 및 해당 플라즈마 발생용의 고주파 전원 유닛은 플라즈마 발생용의 고주파 전력으로서 고주파 전력 펄스열(train)을 형성한다는 점에도 본 발명은 또한 특징점·개선점을 갖고 있다.
본 발명의 제 2 특징점·개선점은 해당 바이어스용의 고주파 전원 유닛이 하부 전극에 공급되는 고주파 전력의 위상을 제어하는 위상 제어 수단을 갖고 있다는 점이다.
이 특징점·개선점에 관련하여, 해당 바이어스용의 고주파 전원 유닛이 플라즈마 발생용의 고주파 전력과 동일 주파수의 고주파 전력을 해당 하부 전극에 공급한다는 점, 해당 바이어스용의 고주파 전원 유닛의 해당 위상 제어 수단은 플라즈마 발생용의 고주파 전력과 바이어스용의 고주파 전력간의 헌팅을 경감하도록 위상을 제어한다는 점, 해당 바이어스용의 고주파 전원 유닛은 해당 하부 전극에 공급하는 바이어스용의 고주파 전력으로서 고주파 전력 펄스열을 형성한다는 점 및 해당 바이어스용의 고주파 전원 유닛은 바이어스용의 고주파 전력으로서 고주파 전력 펄스열을 형성하는 유닛으로서, 해당 고주파 전력 펄스열의 온/오프의 듀티비를 제어한다는 점에서도 본 발명은 특징점·개선점을 갖고 있다.
본 발명의 제 3 특징점·개선점은 해당 플라즈마 발생용의 고주파 전원 유닛이 해당 제 1 고주파 안테나 및 해당 제 2 고주파 안테나의 각각에 공급하는 각 고주파 전력의 위상을 제어하는 위상 제어 수단을 가지며, 그리고 해당 바이어스용의 고주파 전원 유닛이 하부 전극에 공급하는 고주파 전력의 위상을 제어하는 위상 제어 수단을 갖고 있다는 점이다.
이 특징점·개선점에 관련하여, 이들 위상 제어 수단이 플라즈마 발생용의 고주파 전력과 바이어스용의 고주파 전력간의 위상이 동일 위상이 되도록 제어한다는 점, 해당 바이어스용의 고주파 전원 유닛이 해당 하부 전극에 공급하는 바이어스용의 고주파 전력으로서 고주파 전력 펄스열을 형성한다는 점, 해당 바이어스용의 고주파 전원 유닛의 해당 위상 제어 수단이, 해당 바이어스용의 고주파 전력 펄스열이 플라즈마 발생용의 고주파 전력 펄스열의 고주파 전력보다 소정의 지연을 갖도록 제어한다는 점 및 해당 바이어스용의 고주파 전원 유닛이 해당 바이어스용의 고주파 전력 펄스열의 펄스폭을 플라즈마 발생용의 고주파 전력 펄스열의 펄스폭보다 넓고, 또한 플라즈마의 애프터 글로우 기간에 전력값을 내린 파형의 고주파 전력 펄스열을 형성한다는 점에서도 본 발명은 또한 특징점·개선점을 갖고 있다.
도 1은 본 발명을 플라즈마 처리에 적용한 경우에 있어서의 플라즈마 처리 장치의 개략적인 구성을 도시하는 단면도.
도 2는 본 발명을 플라즈마 처리에 적용한 경우에 있어서의 플라즈마 처리 장치의 개략적인 배치 약도.
도 3a 및 도 3b는 본 발명을 플라즈마 처리에 적용한 경우에 있어서의 플라즈마 처리 장치를 펄스 제어하기 위한 타이밍 차트.
도면의 주요부분에 대한 부호의 설명
114, 146 : 매칭회로 116, 147 : 가변 위상기
122 : 제어기 130, 138 : 필터
132 : 전력원 154 : 펄스 변조기
158 : 가스원 160 : 매스 플로 콘트롤러
(실시예)
첨부한 도면은, 명세서의 일부와 제휴하여 또한 일부를 구성하여 본 발명의 바람직한 실시예를 도시한다. 그리고, 해당 도면은 상기에 서술한 일반적인 기술과 이하에 기술하는 바람직한 실시예에 관한 상세한 설명에 의해 본 발명의 설명에 기여하고 있다.
본 발명의 플라즈마 처리 장치는 여러가지 플라즈마 처리에 적용될 수 있다. 도 1을 참조하면서, 본 발명의 플라즈마 처리 장치를 안테나 분할형의 고주파 유도 방식 플라즈마 에칭 장치(TCP)(100)에 적용한 경우의 실시예를 설명한다.
이 플라즈마 에칭 장치(100)의 처리 용기(102)는, 도전성 재료, 예를 들어 알루미늄 등으로 이루어지고, 원통 혹은 직사각형의 원뿔 통형상으로 성형되어 있으며, 그 내부에는 플라즈마 에칭이 실시되는 처리실(102a)을 갖고 있다.
상기 처리 용기(102)는 접지되어 있고, 그 바닥부에는 대략 원기둥 형상의 탑재대(106)가 마련되어 있다. 피처리체, 예를 들어 반도체 웨이퍼 W는 세라믹 등의 절연판(104)을 거쳐 탑재대(106)에 탑재된다. 해당 탑재대(106)와 대향하는 처리 용기(102)의 천정판부에는, 절연재(108)가 오(O)링 등의 밀봉 부재(110)를 거쳐 기밀하게 설치된다. 해당 절연재(108)는 예를 들어 석영 유리나 세라믹 등의 절연재로 구성되어 있다. 이 절연재(108)의 외벽면에는, 루프 형상으로 형성한 고주파 안테나(112(112a, 112b))가 배치되어 있다. 해당 고주파 안테나(112)는, 예를 들어 동판, 알루미늄, 스테인레스 등의 도전체로 구성되어 있다. 이 안테나(112(112a, 112b))는 안테나 작용에 의해 플라즈마를 발생하는 기능을 갖는 것이면 되며, 그 형상은 루프 형상에 한정되지 않고, 여러가지 형상을 채용하는 것이 가능하다.
도 2를 참조하면, 고주파 안테나(112)는 상기 절연재(108)의 외벽면상의 중앙 부근에 배치된 제 1 고주파 안테나(112a)와, 그 제 1 고주파 안테나(112a)의 외주부에 소정 간격을 두고 배치된 제 2 고주파 안테나(112b)로 구성되어 있다. 이 고주파 안테나(112)의 배치는 도 2에 도시된 바와 같이 동심원적인 것에 한정되지 않고, 여러가지 배치를 채용할 수 있으며, 예를 들어 안테나(112)의 한쪽이 절연재(108)의 외벽면상에 배치되고, 다른쪽이 상기 처리 용기(102)의 외측면에 배치되는 배치로 하여도 좋다.
도 1로 되돌아가서, 각 고주파 안테나 (112a) 및 (112b)에는, 각각 매칭 회로(114(114a, 114b)), 증폭기(115(115a, 115b)), 가변 위상기(116) 및 고주파 전원(118(118a, 118b))으로 이루어지는 고주파 전원 유닛이 접속된다. 가변 위상기(116)에 의해서 각 고주파 안테나(112a, 112b)에 인가되는 고주파 전력간의 위상을 제어하는 것이 가능하다.
플라즈마 에칭 장치(100)는 매칭 회로(114(114a, 114b))의 출력측에 위상 검출 회로(120a, 120b)를 갖고 있다.
플라즈마 에칭 장치(100)에 있어서, 플라즈마 밀도/분포를 최적화함으로써 플라즈마를 균일하게 발생시키기 위해서는, 제 1 및 제 2 고주파 안테나(112a 및 112b)에 의한 전자장(電磁場)이 서로 간섭하는 일이 없도록 각 고주파 안테나(112a 및 112b)에 인가되는 고주파 전력의 위상을 제어하는 것이 필요하다. 그러나, 고주파 전원(118a, 118b)과 각 고주파 안테나(112a, 112b)를 연결하는 배선 길이의 상위나, 배선 도중에 마련되는 전기 회로의 영향에 의해 가변 위상기(116)가 고주파 전력간의 위상을 조정하더라도 각 고주파 안테나(112a 및 112b)에 인가되는 고주파 전력간의 위상은 미묘하게 어긋나, 소망하는 위상을 얻을 수 없다.
플라즈마 에칭 장치(100)에 있어서는, 매칭 회로(114(114a, 114b))의 출력측에 마련한 위상 검출 회로(120a, 120b)에 의해 고주파 전력의 위상을 검출하고, 이 검출치에 기초하여 제어기(122)에 의해 가변 위상기(116)를 제어하여 고주파 전력간의 위상을 소정치로 설정하는 것이 가능해진다.
다시, 도 1을 참조하면, 상기 탑재대(106)는 알루미늄 등으로 형성된 복수의 부재를 볼트 등에 의해 접합함으로써 구성하는 것이 가능하다. 그 내부에는 냉각 수단(124)이나 가열 수단(126) 등의 온도 조절 수단이 내설되어, 반도체 웨이퍼 W의 처리면은 소망하는 온도로 조정된다.
해당 냉각 수단(124)은, 예를 들어 냉각용 재킷 등으로 구성되고, 이 냉각 재킷내에는, 예컨대 액체 질소 등의 냉매를 냉매 도입관(127)을 거쳐 도입한다. 도입된 액체 질소는 냉각 수단(124)내를 순환하여 핵비등(核沸騰)에 의해 냉열을 발생한다. 이러한 구성에 의해, 예컨대 -196℃의 액체 질소의 냉열이 냉각 수단(124)으로부터 탑재대(106)를 거쳐 반도체 웨이퍼 W에 열전도되고, 반도체 웨이퍼 W의 처리면 F는 소망하는 온도로 냉각된다. 액체 질소의 핵비등에 의해 발생한 질소 가스는 냉매 배출관(128)으로부터 용기밖으로 배출된다.
탑재대(106)는 가열 수단(126)을 갖고 있다. 이 가열 수단(126)은, 예를 들어 질화 알루미늄 등의 절연성 소결체에 텅스텐 등의 도전성 저항 발열체를 삽입(insert)한 구성이다. 이 저항 발열체는 전력 공급 리드(131)에 의해 필터(130)를 거쳐 전력원(132)으로부터 전력 공급을 받아 발열하여 반도체 웨이퍼 W의 처리면을 소망하는 온도까지 가열한다.
상기 탑재대(106)의 중앙 상면에는, 피처리체를 유지하기 위한 정전척부(134)가 마련되어 있다. 이 척부의 지름은, 반도체 웨이퍼 W와 대략 동일 지름 크기로, 또는 바람직하게는 반도체 웨이퍼 W의 지름보다 약간 작은 지름이다. 이 정전척부(134)는 폴리 이미드 수지 등의 고분자 절연 재료로 이루어지는 2장의 필름(134a, 134b) 사이에 동박(銅箔) 등의 도전막(134c)을 협지한 구성을 가지고 있다. 이 도전막(134c)은 전압 공급 리드(136)에 의해, 고주파를 차단(cut)하는 필터(138)를 거쳐 가변 직류 전압원(140)에 접속되어 있다. 그 도전막(134c)에 예를 들어 2kV의 고전압을 인가함으로써, 반도체 웨이퍼 W는 상측 필름(134a)의 상면에 쿨롱력에 의해 흡착 유지된다.
상기 탑재대(106)의 주위에 있어서, 반도체 웨이퍼 W의 외주를 둘러싸도록 둥근 형상(環狀)의 포커스 링(142)이 배치되어 있다. 이 포커스 링(142)은 플라즈마 중의 반응성 이온을 유인하지 않는 절연성 또는 도전성의 재료로 이루어진다. 이 포커스 링(142)에 의해, 플라즈마 중의 반응성 이온은 포커스 링(142)의 내측의 반도체 웨이퍼 W에 효과적으로 입사된다.
상기 탑재대(106)에는 공중에 성형된 도체의 전기 공급 막대(144)가 접속되어 있다. 이 전기 공급 막대(144)에는 매칭 회로(146), 증폭기(148) 및 고주파 전원(150)으로 이루어지는 바이어스용의 고주파 전원 유닛이 접속되어 있다.
플라즈마 처리시에는, 소정 주파수, 예를 들어 2MHZ의 고주파 전력이 탑재대(106)에 인가된다. 탑재대(106)와 처리실(102a)내 플라즈마와의 사이에 바이어스 전위가 발생하여 플라즈마 중의 반응성 이온이 피처리체의 처리면에 효과적으로 유인된다.
매칭 회로(146)의 출력측에는, 위상 검출기(152)가 설치되어 있다. 해당 위상 검출기(152)에 의해, 하부 전극(106)에 인가되는 고주파 전력의 전류 위상이 검출되고, 이 검출치에 기초하여 제어기(122)가 가변 위상기(147)를 제어하여, 바이어스용의 고주파 전력의 전류의 위상을 제어한다.
증폭기(150)에는, 듀티비의 설정 및 위상을 지연시키는 기능도 갖는 펄스 변조기(154)가 접속되어 있다. 플라즈마 처리시에는, 제 1 및 제 2 고주파 안테나(112a, 112b)에 인가되는 플라즈마 발생용의 고주파 전력(연속 고주파 전력 혹은 고주파 전력 펄스열(train))에 따라, 예를 들어 1Hz∼1MHz의 범위에서 펄스 변조된 바이어스용의 고주파 전력을 탑재대(106)에 인가한다.
상기 탑재대(106)의 천정부의 절연재(108) 중앙부에는, 처리 가스 공급구(156)가 마련되어 있다. 처리 가스, 예를 들어 C4F8, Ar가스 등이 가스원(158)으로부터 매스 플로 콘트롤러(160)를 거쳐 처리실(102a)내로 도입된다.
상기 처리 용기(102)의 바닥부에는 배기관(162)이 접속되고, 이 처리 용기(102)내의 가스가 도시하지 않은 배기 수단, 예를 들어 진공 펌프에 의해 배출된다. 처리실(102a)의 분위기가 저압 분위기, 예를 들어 20mTorr 이하로 진공 흡입된다.
상기 처리 용기(102)의 측부에 피처리체 반출입구(164)가 마련되고, 이 반출입구(164)는 게이트 밸브(166)를 거쳐 로드록실(168)에 연통되어 있다. 게이트 밸브(166)는 도시되어 있지 않은 구동 장치에 의해 자동 개폐된다. 로드록실(168)내에는 반송 기구(172)가 설치되고, 해당 반송 기구(172)에 의해 반도체 웨이퍼 W는 1장씩 처리 용기(102)내로 반송된다.
상기 플라즈마 처리 장치(100)에서 플라즈마 처리를 실시하는 경우에는, 제 1 및 제 2 고주파 안테나(112a 및 112b)에 고주파 전력이 인가되어 처리실(102a)내에 고주파 전자장이 형성된다. 이 전자장에 의해, 처리 가스 중의 전자가 중성 입자에 충돌되어 해당 처리 가스는 전리되고, 플라즈마가 생성된다.
하부 전극(106)에 바이어스용의 고주파 전력이 인가됨으로써, 플라즈마와 하부 전극(106) 사이에 바이어스 전위가 발생되어 플라즈마 중의 반응성 이온이 피처리체에 효과적으로 인입된다.
이하에, 플라즈마 처리 장치(100)에 있어서의 여러가지 모드에서의 프로세스 제어에 대해 설명한다.
(1) 고주파 안테나(112)에 연속파의 고주파 전력이 인가되는 경우에는, 위상 검출기(120a 및 120b)가 해당 고주파 전력의 전류의 위상을 검출한다. 그 위상에 따라 제어기(122)는 가변 위상기를 제어한다. 그 결과, 처리실(102a)내에 고주파 전자장이 최적으로 발생되도록 그 전류의 위상이 제어된다.
또한, 고주파 안테나(112)간의 위상의 편차가 제어기(122)에 의해 제어되어 제 1 및 제 2 고주파 안테나(112a 및 112b) 사이의 간섭 현상이 회피 내지 경감될 수 있다.
하부 전극(106)에 플라즈마 발생용의 고주파 안테나(112a 및 112b)와 동일한 주파수의 바이어스용의 고주파 전력이 인가됨으로써, 제 1 및 제 2 고주파 안테나(112a 및 112b)와 바이어스와의 균형이 이루어져 간섭이 회피 혹은 경감된다.
하부 전극에 고주파 전력 펄스열이 인가될 때에는, 그 펄스의 온/오프의 듀티비나 인가 전원을 최적으로 제어함으로써, 오프(off) 시간중의 에칭·래디컬종(radical 種)에 의한 웨이퍼 W에의 디포지션(deposition) 시간과, 온(on) 시간중의 에칭·래디컬종(radical 種)에 의한 웨이퍼 W에의 이온 충격 시간을 구별하여 제어할 수 있다. 이 결과, 에칭 형상의 제어나 선택성의 제어가 개선된다. 또한, 오프 시간중에는 플라즈마의 전위(potential)가 온 시간과 비교하여 작아지기 때문에, 차지 업(charge up)을 제어하는 것도 가능하다.
또한, 에칭의 대상에 따라서는, 에칭 가스, 첨가 가스, 혹은 바이어스 주파수 등을 변경하여 에칭의 형상, 비율, 선택성 등의 프로세스 요인(process factor)의 우선도를 바꿀 필요가 있다.
이들의 경우에 있어서, 펄스 변조기(154)는 펄스 변조 제어를 하여 제 1 및 제 2 고주파 안테나(112a, 112b)와는 별도의 주파수의 고주파 전력 펄스열을 하부 전극(106)에 인가한다. 이 고주파 전력 펄스열의 듀티비나 인가 전원을 최적화함으로써 상술한 바와 같이 피처리체에 대한 에칭 형상의 제어나 선택성의 제어가 개선될 수 있다.
(2) 고주파 안테나에 고주파 전력 펄스열을 인가하는 경우 제 1 및 제 2 고주파 안테나(112a 및 112b)에 대하여 플라즈마 발생용의 고주파 전력 펄스열을 인가함으로써 플라즈마 중의 음이온의 밀도 제어나 에칭 시간의 제어를 실행할 수 있다.
이 경우, 하부 전극(106)에는 펄스 변조 제어에 의해 형성된 고주파 전력 펄스열이 인가된다. 플라즈마 중의 가스의 해리 상태는 플라즈마 발생용의 고주파 전력 펄스열의 온(on) 시기 및 오프(off) 시기에 따라 다르다. 소망하는 에칭 선택성 및 에칭 형상을 실현하기 위해서 바람직한 부식액(etchant)이 많이 존재하고 있는 시기에 합치시켜, 해당 부식액(etchant)을 하부 전극(106)에 인입하기 위해서, 바이어스용의 고주파 전력 펄스열이 제어된다. 예를 들어, 도 3a 및 도 3b에 도시하는 바와 같이 온화한 애프터 글로우 플라즈마를 피처리체 표면에 인입하기 위해서는 하부 전극(106)에 인가되는 고주파 전력 펄스열을 지연시키는 방법, 또는 해당 고주파 전력 펄스열의 펄스폭을 넓히는 방법을 채용할 수 있다.
애프터 글로우 시기에 있어서는, 플라즈마 밀도는 급속히 감소한다. 하부 전극에 메인 플라즈마 발생 시기와 동일한 전원의 바이어스용 전력을 인가하면, 애프터 글로우 플라즈마를 인입하기 위한 쉬스(sheath) 전압이 급상승하여 피처리체에 손상을 준다. 이 현상을 회피 또는 경감하기 위해서, 애프터 글로우 시기에 있어서는, 미리 설정된 순서에 따라 바이어스용 전력의 전원을 소정치로 내리는 방법, 또는 애프터 글로우 기간중의 플라즈마 밀도의 변화에 따라 바이어스용 전력의 전원을 변화시키는 방법을 채용할 수 있다.
또한, 상술한 바와 같이 하부 전극에 인가하는 고주파 전력 펄스열의 온/오프의 듀티비나 인가 전원을 최적으로 제어함으로써, 웨이퍼 W에의 디포지션 시간과, 웨이퍼 W에의 이온 충격 시간을 구별하여 제어할 수 있다. 이 결과, 에칭 형상의 제어나 선택성의 제어가 개선된다. 또한, 오프 시간중에는 플라즈마의 전위가 온 시간과 비교하여 작아지기 때문에, 고주파 전력 펄스의 온/오프의 듀티비를 제어함으로써 차지 업(charge up)을 경감하는 것도 가능하다.
이상, 안테나 분할형의 고주파 유도 플라즈마 발생 장치를 실시예로서 이용하여, 본 발명에 관한 플라즈마 처리 장치를 설명하였다. 본 발명은 이러한 실시예에 한정되는 것이 아니라, 특허청구의 범위에 기재된 기술적 사상의 범위내에서 각종의 변경예 및 수정예에 생각이 미칠 수 있는 것이 분명하며, 그것들에 관해서도 본 발명의 기술적 범위에 속하는 것으로 사료된다.
예컨대, 상기 실시예에 관한 에칭 장치에 있어서는, 1회전(turn)의 루프 형상의 고주파 안테나가 이중으로 배치되어 있다. 본 발명은 이러한 안테나에 한정되지 않고, 제 1 및 제 2 고주파 안테나로서는, 상호 위상을 제어하는 것이 가능한 모든 구조의 안테나도 채용하는 것이 가능하다.
또한, 상기 실시예에 관한 에칭 장치에 있어서는, 처리 가스가 처리 용기(102)의 상부로부터 공급되는 구성이 도시되어 있지만, 처리 가스는 처리 용기(102)의 측부로부터 공급되는 구성도 채용할 수 있다.
또한, 도 1 및 도 2에 도시하는 예에서는, 위상 제어 수단 및 펄스 제어 수단의 양쪽을 구비한 구성이 도시되어 있지만, 본 발명은 이러한 예에 한정되지 않고, 프로세스에 따라 위상 제어 수단 및 펄스 제어 수단 중 어느 한쪽을 구비하는 구성도 채용되는 것이 가능하다.
또한 상기 실시예는 본 발명을 에칭 장치에 적용한 예이지만, 본 발명은 에칭 장치에 한정되지 않고, 애싱 장치, 성막 장치, 스퍼터링 장치 등 각종 플라즈마 처리 장치에 적용하는 것이 가능하다.
또한, 본 발명에 이러한 플라즈마 처리 장치는 반도체 웨이퍼의 처리에 한정되지 않고, 액정 표시 장치용의 유리 기판 등 플라즈마 처리를 필요로 하는 각종 피처리체를 처리할 수 있다.
이상 설명한 바와 같이, 본 발명은 고주파 안테나 및 하부 전극에 인가되는 고주파 전력의 위상을 제어함으로써, 및/또는 펄스 변조 제어함으로써 처리실내에 발생하는 플라즈마의 균일성을 개선하여, 분할된 안테나간의 헌팅을 방지하고, 플라즈마의 발생 효율을 높이며, 또한 에칭 처리를 하는 경우에 에칭의 선택성이나 에칭의 형상을 용이하고 또한 정확하게 제어할 수 있다.
본 발명에 관한 그 밖의 잇점 및 변경은 해당 분야 관련업자라면 명백히 이해할 수 있을 것이다. 본 발명의 범위는 명세서에 있어서의 상세한 설명 및 도시된 실시예와 그 설명에 한정되는 것이 아니라, 청구항에 정의된 발명 사상의 가장 넓은 범위로 해석된다. 따라서, 본 발명은 청구항에 정의된 발명의 사상과 이에 상당하는 사상의 범위를 벗어나지 않고 여러가지로 변경하는 것이 가능하다.

Claims (19)

  1. 플라즈마 처리실과,
    상기 플라즈마 처리실의 외주부에 배치된 제 1 고주파 안테나와,
    상기 플라즈마 처리실의 외주부에 있어서, 상기 제 1 고주파 안테나와 소정간격을 두고 배치된 제 2 고주파 안테나와,
    상기 제 1 고주파 안테나 및 상기 제 2 고주파 안테나에 플라즈마 발생용의 고주파 전력을 공급하는 플라즈마 발생용의 고주파 전원 유닛으로서, 상기 제 1 고주파 안테나 및 상기 제 2 고주파 안테나의 각각에 공급되는 양 고주파 전력간의 위상을 제어하는 위상 제어 수단을 갖고 있는 상기 플라즈마 발생용의 고주파 전원 유닛과,
    상기 처리실내에 설치되고, 피처리체를 탑재한 하부 전극 및
    상기 하부 전극에 바이어스용의 고주파 전력을 공급하는 바이어스용의 고주파 전원 유닛을 구비하는 플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    상기 플라즈마 발생용의 고주파 전원 유닛에 있어서의 상기 위상 제어 수단은, 상기 제 1 고주파 안테나 및 상기 제 2 고주파 안테나에 공급되는 플라즈마 발생용의 고주파 전력의 위상이 동일 위상이 되도록 제어하는 위상 제어 수단인 플라즈마 처리 장치.
  3. 제 1 항에 있어서,
    상기 플라즈마 발생용의 고주파 전원 유닛은 상기 제 1 고주파 안테나 및 상기 제 2 고주파 안테나에 공급하는 플라즈마 발생용의 고주파 전력으로서 연속파의 고주파 전력을 형성하는 유닛으로서, 제 1 고주파 안테나 및 제 2 고주파 안테나의 각각에 공급되는 양 고주파 전력간의 위상을 제어하는 위상 제어 수단을 갖고 있는 상기 플라즈마 발생용의 고주파 전원 유닛인 플라즈마 처리 장치.
  4. 제 1 항에 있어서,
    상기 플라즈마 발생용의 고주파 전원 유닛은 상기 제 1 고주파 안테나 및 상기 제 2 고주파 안테나에 공급하는 플라즈마 발생용의 고주파 전력으로서 고주파 전력 펄스열을 형성하는 유닛으로서, 제 1 고주파 안테나 및 제 2 고주파 안테나의 각각에 공급되는 각 고주파 전력 펄스열의 위상을 제어하는 위상 제어 수단을 갖고 있는 상기 플라즈마 발생용의 고주파 전원 유닛인 플라즈마 처리 장치.
  5. 플라즈마 처리실과,
    상기 플라즈마 처리실의 외주부에 배치된 제 1 고주파 안테나와,
    상기 플라즈마 처리실의 외주부에 있어서, 상기 제 1 고주파 안테나와 소정간격을 두고 배치된 제 2 고주파 안테나와,
    상기 제 1 고주파 안테나 및 상기 제 2 고주파 안테나에 플라즈마 발생용의 고주파 전력을 공급하는 플라즈마 발생용의 고주파 전원 유닛과,
    상기 처리실내에 설치되고, 피처리체를 탑재한 하부 전극 및
    상기 하부 전극에 바이어스용의 고주파 전력을 공급하는 바이어스용의 고주파 전원 유닛으로서, 하부 전극에 공급하는 고주파 전력의 위상을 제어하는 위상 제어 수단을 갖고 있는 상기 바이어스용의 고주파 전원 유닛을 구비하는 플라즈마 처리 장치.
  6. 제 5 항에 있어서,
    상기 바이어스용의 고주파 전원 유닛은 상기 제 1 고주파 안테나 및 상기 제 2 고주파 안테나에 공급되는 플라즈마 발생용의 고주파 전력과 동일 주파수의 고주파 전력을 상기 하부 전극에 공급하는 바이어스용의 고주파 전원 유닛으로서, 하부 전극에 공급되는 고주파 전력의 위상을 제어하는 위상 제어 수단을 갖고 있는 상기바이어스용의 고주파 전원 유닛인 플라즈마 처리 장치.
  7. 제 5 항에 있어서,
    상기 바이어스용의 고주파 전원 유닛에 있어서의 상기 위상 제어 수단은, 상기 제 1 고주파 안테나 및 상기 제 2 고주파 안테나에 공급되는 플라즈마 발생용의 고주파 전력과 바이어스용의 고주파 전력간의 헌팅이 경감되도록 위상을 제어하는 위상 제어 수단인 플라즈마 처리 장치.
  8. 제 5 항에 있어서,
    상기 바이어스용의 고주파 전원 유닛은 상기 하부 전극에 공급하는 바이어스용의 고주파 전력으로서 고주파 전력 펄스열을 형성하여 공급하는 유닛으로서, 상기 하부 전극에 공급되는 고주파 전력 펄스열의 위상을 제어하는 위상 제어 수단을 갖고 있는 상기 바이어스용의 고주파 전원 유닛인 플라즈마 처리 장치.
  9. 제 8 항에 있어서,
    상기 바이어스용의 고주파 전원 유닛은 상기 하부 전극에 공급하는 바이어스용의 고주파 전력으로서 고주파 전력 펄스열을 형성하는 유닛으로서, 하부 전극에 공급되는 고주파 전력 펄스열의 위상을 제어하는 위상 제어 수단 및 온/오프의 듀티비를 제어하는 수단을 갖고 있는 상기 바이어스용의 고주파 전원 유닛인 플라즈마 처리 장치.
  10. 플라즈마 처리실과,
    상기 플라즈마 처리실의 외주부에 배치된 제 1 고주파 안테나와,
    상기 플라즈마 처리실의 외주부에 있어서, 상기 제 1 고주파 안테나와 소정간격을 두고 배치된 제 2 고주파 안테나와,
    상기 제 1 고주파 안테나 및 상기 제 2 고주파 안테나에 플라즈마 발생용의 고주파 전력을 공급하는 플라즈마 발생용의 고주파 전원 유닛으로서, 제 1 고주파 안테나 및 제 2 고주파 안테나의 각각에 공급되는 양 고주파 전력간의 위상을 제어하는 위상 제어 수단을 갖고 있는 상기 플라즈마 발생용의 고주파 전원 유닛과,
    상기 처리실내에 설치되고, 피처리체를 탑재한 하부 전극 및
    상기 하부 전극에 바이어스용의 고주파 전력을 공급하는 바이어스용의 고주파 전원 유닛으로서, 하부 전극에 공급되는 고주파 전력의 위상을 제어하는 위상 제어 수단을 갖고 있는 상기 바이어스용의 고주파 전원 유닛을 구비하는 플라즈마 처리 장치.
  11. 제 10 항에 있어서,
    상기 플라즈마 발생용의 고주파 전원 유닛에 있어서의 상기 위상 제어 수단은, 상기 제 1 고주파 안테나 및 상기 제 2 고주파 안테나에 공급되는 플라즈마 발생용의 고주파 전력의 위상이 동일 위상이 되도록 제어하는 위상 제어 수단인 플라즈마 처리 장치.
  12. 제 10 항에 있어서,
    상기 바이어스용의 고주파 전원 유닛에 있어서의 상기 위상 제어 수단은, 상기 제 1 고주파 안테나 및 상기 제 2 고주파 안테나에 공급되는 플라즈마 발생용의 고주파 전력과 바이어스용의 고주파 전력간의 위상이 동일 위상이 되도록 제어하는 위상 제어 수단인 플라즈마 처리 장치.
  13. 제 10 항에 있어서,
    상기 플라즈마 발생용의 고주파 전원 유닛은 상기 제 1 고주파 안테나 및 상기 제 2 고주파 안테나에 공급하는 플라즈마 발생용의 고주파 전력으로서 연속파의 고주파 전력을 형성하는 유닛으로서, 상기 제 1 고주파 안테나 및 상기 제 2 고주파 안테나의 각각에 공급되는 양 고주파 전력간의 위상을 제어하는 위상 제어 수단을 갖고 있는 상기 플라즈마 발생용의 고주파 전원 유닛이고,
    상기 바이어스용의 고주파 전원 유닛은 상기 하부 전극에 공급하는 바이어스용의 고주파 전력으로서 고주파 전력 펄스열을 형성하는 유닛으로서, 하부 전극에 공급되는 고주파 전력 펄스열의 위상을 제어하는 위상 제어 수단을 갖고 있는 상기 바이어스용의 고주파 전원 유닛인 플라즈마 처리 장치.
  14. 제 13 항에 있어서,
    상기 바이어스용의 고주파 전원 유닛은 상기 하부 전극에 공급하는 바이어스용의 고주파 전력으로서 고주파 전력 펄스열을 형성하는 유닛으로서, 하부 전극에 공급되는 고주파 전력 펄스열의 위상을 제어하는 위상 제어 수단 및 온/오프의 듀티비를 제어하는 수단을 갖고 있는 상기 바이어스용의 고주파 전원 유닛인 플라즈마 처리 장치.
  15. 제 10 항에 있어서,
    상기 플라즈마 발생용의 고주파 전원 유닛은, 상기 제 1 고주파 안테나 및 상기 제 2 고주파 안테나에 공급하는 플라즈마 발생용의 고주파 전력으로서 고주파 전력 펄스열을 형성하는 유닛으로서, 제 1 고주파 안테나 및 제 2 고주파 안테나의 각각에 공급되는 양 고주파 전력간의 위상을 제어하는 위상 제어 수단을 갖고 있는 상기 플라즈마 발생용의 고주파 전원 유닛이고,
    상기 바이어스용의 고주파 전원 유닛은 상기 하부 전극에 공급하는 바이어스용의 고주파 전력으로서 고주파 전력 펄스열을 형성하는 유닛으로서, 하부 전극에 공급되는 고주파 전력 펄스열의 위상을 제어하는 위상 제어 수단을 갖고 있는 상기 바이어스용의 고주파 전원 유닛인 플라즈마 처리 장치.
  16. 제 15 항에 있어서,
    상기 바이어스용의 고주파 전원 유닛은 상기 하부 전극에 공급하는 바이어스용의 고주파 전력으로서 고주파 전력 펄스열을 형성하는 유닛으로서, 하부 전극에 공급되는 고주파 전력 펄스열의 위상을 제어하는 위상 제어 수단 및 온/오프의 듀티비를 제어하는 수단을 갖고 있는 상기 바이어스용의 고주파 전원 유닛인 플라즈마 처리 장치.
  17. 제 15 항에 있어서,
    상기 바이어스용의 고주파 전원 유닛은 상기 하부 전극에 공급하는 바이어스용의 고주파 전력으로서 고주파 전력 펄스열을 형성하는 유닛으로서, 상기 유닛은 하부 전극에 공급되는 고주파 전력 펄스열의 위상을 제어하는 위상 제어 수단을 갖고, 상기 위상 제어 수단은 상기 바이어스용의 고주파 전력 펄스열이 플라즈마 발생용의 고주파 전력 펄스열보다 소정의 지연을 갖도록 제어하는 수단인 플라즈마 처리 장치.
  18. 제 15 항에 있어서,
    상기 바이어스용의 고주파 전원 유닛은 상기 하부 전극에 공급하는 바이어스용의 고주파 전력으로서 고주파 전력 펄스열을 형성하는 유닛으로서, 상기 유닛은 하부 전극에 공급되는 고주파 전력 펄스열의 위상을 제어하는 위상 제어 수단을 갖고, 상기 위상 제어 수단은 상기 바이어스용의 고주파 전력 펄스열이 플라즈마 발생용의 고주파 전력 펄스열보다 소정의 지연을 갖도록 제어하는 수단이고, 상기 유닛은 또한 펄스 플라즈마의 애프터 글로우 기간에 상기 바이어스용의 고주파 전력펄스의 전력값을 내리는 제어를 실행하는 플라즈마 처리 장치.
  19. 제 15 항에 있어서,
    상기 바이어스용의 고주파 전원 유닛은 상기 하부 전극에 공급하는 바이어스용의 고주파 전력으로서 고주파 전력 펄스열을 형성하는 유닛으로서, 상기 유닛은 하부 전극에 공급되는 고주파 전력 펄스열의 위상을 제어하는 위상 제어 수단을 갖고, 상기 유닛은 또한 상기 바이어스용의 고주파 전력 펄스열의 펄스폭을 플라즈마 발생용의 고주파 전력 펄스열의 펄스폭보다 넓고, 또한 플라즈마의 애프터 글로우 기간에 전력값를 내린 파형의 고주파 전력 펄스열을 형성하는 유닛인 플라즈마 처리 장치.
KR1019970049131A 1996-09-27 1997-09-26 플라즈마 처리 장치 KR19980025047A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP96-277370 1996-09-27
JP27737096A JP3220394B2 (ja) 1996-09-27 1996-09-27 プラズマ処理装置

Publications (1)

Publication Number Publication Date
KR19980025047A true KR19980025047A (ko) 1998-07-06

Family

ID=17582584

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970049131A KR19980025047A (ko) 1996-09-27 1997-09-26 플라즈마 처리 장치

Country Status (4)

Country Link
US (1) US5935373A (ko)
JP (1) JP3220394B2 (ko)
KR (1) KR19980025047A (ko)
TW (1) TW455922B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000038597A (ko) * 1998-12-08 2000-07-05 윤종용 반도체 식각장치
KR100317915B1 (ko) * 1999-03-22 2001-12-22 윤종용 플라즈마 식각 장치
WO2019098554A1 (ko) * 2017-11-17 2019-05-23 한국원자력연구원 다중 펄스 플라즈마를 이용한 음이온 공급의 연속화 시스템 및 방법

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
TW558666B (en) * 1997-09-25 2003-10-21 Toshiba Corp Method of manufacturing semiconductor apparatus
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
KR100305527B1 (ko) * 1998-07-09 2001-11-01 니시무로 타이죠 반도체장치의 제조방법 및 제조장치
US6132575A (en) * 1998-09-28 2000-10-17 Alcatel Magnetron reactor for providing a high density, inductively coupled plasma source for sputtering metal and dielectric films
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
JP3533105B2 (ja) * 1999-04-07 2004-05-31 Necエレクトロニクス株式会社 半導体装置の製造方法と製造装置
US6319355B1 (en) * 1999-06-30 2001-11-20 Lam Research Corporation Plasma processor with coil responsive to variable amplitude rf envelope
DE19933842A1 (de) * 1999-07-20 2001-02-01 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
US6244210B1 (en) * 1999-10-29 2001-06-12 Advanced Micro Devices, Inc. Strength coil for ionized copper plasma deposition
US6518190B1 (en) * 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
AU2001239906A1 (en) * 2000-03-01 2001-09-12 Tokyo Electron Limited Electrically controlled plasma uniformity in a high density plasma source
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7303982B2 (en) 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7320734B2 (en) 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US6544895B1 (en) 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US6875366B2 (en) * 2000-09-12 2005-04-05 Hitachi, Ltd. Plasma processing apparatus and method with controlled biasing functions
WO2002075801A2 (en) * 2000-11-07 2002-09-26 Tokyo Electron Limited Method of fabricating oxides with low defect densities
WO2002070759A1 (en) * 2001-02-28 2002-09-12 Commonwealth Scientific And Industrial Research Organisation Method and apparatus for the production of titanium
US7096819B2 (en) * 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
SG112804A1 (en) * 2001-05-10 2005-07-28 Inst Of Microelectronics Sloped trench etching process
WO2003030239A1 (fr) * 2001-09-28 2003-04-10 Sumitomo Precision Products Co., Ltd. Procede de gravure de substrat de silicium et appareil de gravure
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
JP2005130198A (ja) * 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
CN102263026B (zh) * 2004-06-21 2016-01-20 东京毅力科创株式会社 等离子体处理装置和方法
EP1753011B1 (de) * 2005-08-13 2012-10-03 HÜTTINGER Elektronik GmbH + Co. KG Verfahren zur Erzeugung von Ansteuersignalen für HF-Leistungsgeneratoren
CN1937880B (zh) * 2005-12-08 2010-05-12 北京北方微电子基地设备工艺研究中心有限责任公司 电感耦合源
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
TWI435376B (zh) 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
DE102006052061B4 (de) * 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
JP5749020B2 (ja) * 2008-01-31 2015-07-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Rf電力をプラズマチャンバに結合するための装置
KR101003382B1 (ko) * 2008-02-13 2010-12-22 주식회사 유진테크 플라즈마 처리장치 및 방법
KR20100022146A (ko) * 2008-08-19 2010-03-02 삼성전자주식회사 플라즈마 공정장치 및 그 방법
KR101510775B1 (ko) * 2008-11-24 2015-04-10 삼성전자주식회사 동기식 펄스 플라즈마 에칭 장비
KR101534024B1 (ko) * 2008-12-10 2015-07-08 주성엔지니어링(주) 기판처리장치
US20100139562A1 (en) 2008-12-10 2010-06-10 Jusung Engineering Co., Ltd. Substrate treatment apparatus
WO2010102125A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
JP5395491B2 (ja) * 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP2010258605A (ja) * 2009-04-22 2010-11-11 Japan Radio Co Ltd パルスディテクタ
JP5496568B2 (ja) * 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5822795B2 (ja) 2012-07-17 2015-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5701958B2 (ja) * 2013-10-15 2015-04-15 東京エレクトロン株式会社 基板処理装置
JP5917477B2 (ja) * 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP6976279B2 (ja) * 2019-03-25 2021-12-08 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2792558B2 (ja) * 1987-12-07 1998-09-03 株式会社日立製作所 表面処理装置および表面処理方法
JP3077009B2 (ja) * 1993-03-27 2000-08-14 東京エレクトロン株式会社 プラズマ処理装置
JPH06314660A (ja) * 1993-03-04 1994-11-08 Mitsubishi Electric Corp 薄膜形成法及びその装置
JP3045444B2 (ja) * 1993-10-20 2000-05-29 東京エレクトロン株式会社 プラズマ処理装置およびその制御方法
JPH07142453A (ja) * 1993-11-16 1995-06-02 Kokusai Electric Co Ltd プラズマエッチング装置
US5685942A (en) * 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
US5683538A (en) * 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
US5614060A (en) * 1995-03-23 1997-03-25 Applied Materials, Inc. Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal
US5824606A (en) * 1996-03-29 1998-10-20 Lam Research Corporation Methods and apparatuses for controlling phase difference in plasma processing systems

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000038597A (ko) * 1998-12-08 2000-07-05 윤종용 반도체 식각장치
KR100317915B1 (ko) * 1999-03-22 2001-12-22 윤종용 플라즈마 식각 장치
WO2019098554A1 (ko) * 2017-11-17 2019-05-23 한국원자력연구원 다중 펄스 플라즈마를 이용한 음이온 공급의 연속화 시스템 및 방법
US11337296B2 (en) 2017-11-17 2022-05-17 Korea Atomic Energy Research Institute Systems and methods for continuously supplying negative ions using multi-pulsed plasma sources

Also Published As

Publication number Publication date
US5935373A (en) 1999-08-10
JP3220394B2 (ja) 2001-10-22
JPH10107012A (ja) 1998-04-24
TW455922B (en) 2001-09-21

Similar Documents

Publication Publication Date Title
KR19980025047A (ko) 플라즈마 처리 장치
US6214162B1 (en) Plasma processing apparatus
KR100274757B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
KR101676875B1 (ko) 플라즈마 처리 장치
KR102060223B1 (ko) 높은 종횡비 피쳐들을 에칭하기 위한 다중 주파수 전력 변조
KR100428428B1 (ko) 플라즈마 처리장치
US6024827A (en) Plasma processing apparatus
KR100630885B1 (ko) 플라즈마 ac 여기 소스와 플라즈마 사이에 전력공급된 비자성 금속 부재를 포함하는 플라즈마 장치
EP1289003B1 (en) Plasma processing apparatus
JP5063520B2 (ja) プラズマ処理方法及びプラズマ処理装置
US6022460A (en) Enhanced inductively coupled plasma reactor
TWI730370B (zh) 電漿處理裝置
KR102036950B1 (ko) 플라즈마 처리 방법
US20080236492A1 (en) Plasma processing apparatus
JP3150058B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2006502556A (ja) 半導体ワークピースを処理するためのプラズマリアクタ
KR20050103504A (ko) 균일한 프로세스 레이트를 발생시키는 안테나
US6824658B2 (en) Partial turn coil for generating a plasma
US10410873B2 (en) Power modulation for etching high aspect ratio features
KR0170387B1 (ko) 고주파 반도체 웨이퍼 가공장치 및 방법
JP3814176B2 (ja) プラズマ処理装置
JP4467667B2 (ja) プラズマ処理装置
TWI787239B (zh) 有機材料的蝕刻方法及設備
JP3372244B2 (ja) プラズマ処理装置
JP3192352B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application