JP2006502556A - 半導体ワークピースを処理するためのプラズマリアクタ - Google Patents

半導体ワークピースを処理するためのプラズマリアクタ Download PDF

Info

Publication number
JP2006502556A
JP2006502556A JP2003539072A JP2003539072A JP2006502556A JP 2006502556 A JP2006502556 A JP 2006502556A JP 2003539072 A JP2003539072 A JP 2003539072A JP 2003539072 A JP2003539072 A JP 2003539072A JP 2006502556 A JP2006502556 A JP 2006502556A
Authority
JP
Japan
Prior art keywords
plasma
electrode
overhead
frequency
stub
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003539072A
Other languages
English (en)
Inventor
ダニエル ジェイ ホッフマン
ヤン イエ
ダン カズ
ダグラス エイ ジュニア ブッチバーガー
シャオイエ ザオ
カン リー チャン
ロバート ビー ハーゲン
マシュー エル ミラー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006502556A publication Critical patent/JP2006502556A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

半導体ワークピースを処理するためのプラズマリアクタは、チャンバ壁を有し、半導体を支持するワークピース支持体を含むリアクタチャンバ、チャンバ壁の一部を有する電極、オーバヘッド電極に発生器の周波数で電力を供給し、所望のプラズマイオン密度のレベルでチャンバ内にプラズマを維持することができるRF電力発生器を有する。オーバヘッド電極と所望のプラズマイオン密度でチャンバ内に形成されたプラズマは、共に電極−プラズマ共振周波数で共振し、発生器の周波数は、電極−プラズマ共振周波数の少なくとも近くである。リアクタは、更に、プラズマの密度分布の均一性を向上するために、プラズマを掻き回す円形磁界を改善するために、ゆっくりした回転磁界を生成する、ウエハ表面上のプラズマ生成領域の周りにMERIE磁石の組を有する。

Description

発明の詳細な説明
(関連出願)
この出願は、Daniel Hoffman他によって2000年3月17日に出願された米国特許出願09/527,342号「プラズマに同調したオーバヘッドRF電極を有するプラズマリアクタ」の一部継続出願である。
本発明は、半導体ワークピースを処理するためのプラズマリアクタに関する。
RFプラズマリアクタは、半導体ウエハを処理し、マイクロエレクトロニックス回路を生成するために用いられる。このリアクタは、処理されるべきウエハを含むチャンバ内にプラズマを形成する。このプラズマは、チャンバに誘導的または容量的に結合されるRFプラズマソース電力の印加によって形成され、維持される。チャンバへのRFソース電力の容量性結合のために、上方にある電極(ウエハに面して)がRFソース電力発生器によって電力供給される。
これらのリアクタにおける一つの問題は、RF発生器の出力インピーダンス、一般に50オームが電極とプラズマの組み合わせによって表される負荷インピーダンスに整合されなければならないことである。さもないと、プラズマチャンバへ供給されるRF電力の量がプラズマの負荷インピーダンスの変動と共に変動するので、例えばプラズマ密度のようなプロセスパラメータを必要限度内に保持することができない。
プラズマの負荷インピーダンスは、処理が進行するに従ってダイナミックに変化する傾向にあるリアクタチャンバ内の状態に依存するために、それは処理中に変動する。誘電体または導体のエッチングプロセスのための最適なプラズマ密度のとき、負荷インピーダンスは、RF発生器の出力インピーダンスと比較して非常に小さく、ウエハの処理中著しく変化する。従って、発生器と負荷の間のインピーダンス整合を能動的に維持するために、インピーダンス整合回路を用いなくてはならない。この能動的なインピーダンス整合は、可変リアクタンス及び/または可変周波数のいずれかを用いる。このインピーダンス整合回路を伴う一つの問題は、それらがプラズマの負荷インピーダンスにおける急速な変化に従うように非常に鋭敏でなければならず、従って、それらの複雑さのために、比較的高価であり、システムの信頼性を減少する。
他の問題は、整合回路がインピーダンス整合を与えることができる負荷インピーダンスの範囲(“整合スペース”)が限定されることである。この整合スペースは、システムのQに関連する。ここでQ=Δf/fであり、fは、システムの共振周波数であり、Δfは、共振の振幅がfにおけるピーク共振の振幅の6db内にあるfのいずれかの側のバンド幅である。一般的なRF発生器は、プラズマインピーダンスが変動するに従って、多くのRF電力が反射して発生器に戻されたとき、ほぼ一定レベルのホワード電力を維持する用に、制限された能力を有する。一般に、これは、そのホワード電力のレベルをサーボ機構で制御する発生器によって達成され、その結果、インピーダンスの不整合が増大する(従って、反射電力が増大する)に従って、発生器はそのホワード電力レベルを増大する。勿論、この能力は、発生器が発生することができる最大ホワード電力によって制限される。一般に、発生器は、3:1より大きくないホワード定在波電圧と反射波電圧との最大の比(電圧定在波比、すなわちVSWR)を扱うことができる。VSWRが3:1を越えるようにインピーダンスの差が増加する(例えば、処理中にプラズマインピーダンスの変動による)と、RF発生器は、最早供給される電力を制御することができず、プラズマについての制御は失われる。その結果、処理は多分失敗するであろう。
従って、少なくとも近似したインピーダンス整合がRF発生器、及び電極とチャンバの組み合わせによって発生器に与えられる負荷、の間に維持されなければならない。この近似のインピーダンス整合は、プラズマインピーダンスの変動の全体の予期される範囲にわたって、3:1のVSWR制限内に発生器の出力におけるVSWRを保つように十分でなければならない。インピーダンスの整合スペースは、整合回路が一般に発生器の出力においてVSWRを3:12またはそれ以下に維持することができる負荷インピーダンスの範囲である。
関連した問題は、負荷インピーダンス自体がプロセスパラメータ、例えば、チャンバの圧力、プラズマソース電力レベル、ソース電力周波数、及びプラズマ密度に非常に敏感であることである。これは、これらのプロセスパラメータ(“プロセスウインドウ”)の範囲を制限し、その範囲内でプラズマリアクタは、受容できないインピーダンス不整合を避けるために、または整合スペースの外側に負荷インピーダンスをもっていく変動を避けるために動作されなければならない。同様に、比較的狭いプロセスウインドウ及びプロセス使用の外側で動作されるリアクタ、または多くのプロセス応用を扱うリアクタを設けることは困難である。
他の関連した問題は、負荷インピーダンスがリアクタ自体の形状、例えば、ある機械的な形状の大きさ及びリアクタ内の材料の導電性または誘電率によっても影響されることである。(これらの形状に関する項目は、リアクタの電気的特性、例えば、負荷インピーダンスに影響を与える浮遊容量、に影響を及ぼす。)これによって、材料における製造の許容量及び変化により同じ設計の異なるリアクタ間で均一性を維持することが困難になる。その結果、高いシステムのQ及び対応する小さなインピーダンス整合スペースに関して、同じプロセスウインドウを示すか、或いは同じ性能を与える同じ設計の2つのリアクタを製造することは困難である。
他の問題は、RF電源の不十分な使用である。プラズマリアクタは、プラズマに供給される電力量がRF発生器によって発生される電力より著しく小さい傾向があるという点で不十分であることが知られている。結果として、プラズマに供給されるのに実際に必要な電力より多くの電力を発生するために、発生器の能力におけるコストの増加及び信頼性に対するトレードオフを蒙らなければならない。
(発明の概要)
半導体ワークピースを処理するためのプラズマリアクタは、チャンバ壁を有し、半導体ワークピースを保持するためのワークピース支持体を含むリアクタチャンバ、このワークピース支持体の上方にあるオーバヘッド電極、チャンバ壁の一部を有する電極、発生器の周波数の電力をオーバヘッド電極に供給し、所望のプラズマイオン密度のレベルでチャンバ内にプラズマを維持することができるRF電力発生器を有する。
このオーバヘッド電極は、オーバヘッド電極と所望のプラズマイオン密度でチャンバ内に形成されるプラズマが電極−プラズマ共振周波数で共に共振するように容量を有する。この発生器の周波数は、少なくとも電極−プラズマ共振周波数に近い。リアクタは、更に、プラズマイオン密度の分布の均一性を向上するために、プラズマを掻き回すゆっくり回る磁界を生成する、ウエハ表面上にあるプラズマプロセス領域の周りにMERIE磁石のセットを有する。
リアクタは、ワークピース支持体に面したオーバヘッド電極の表面上に形成される絶縁層、RF電力発生器とオーバヘッド電極間の容量性絶縁層、及びワークピース支持体から離れて面しているオーバヘッド電極の表面上で、接している金属またはセラミックのフォーム(気泡)層を有する。絶縁層は、ガスの注入ポート内のアークを抑制するのに充分な容量を与える。容量性絶縁層は、チャンバ内のプラズマからDC電流がオーバヘッド電極を通して流れるの阻止するのに充分な容量を有している。金属のフォーム層は、ガスの注入オリフィス内の軸電界を抑制するのに充分な厚さである。
図1を参照すると、プラズマリアクタは、半導体ウエハ110を支持する、チャンバの下部にあるウエハ支持体105を含むリアクタチャンバ100を有する。一つの実施例では、プロセスキットが接地されたチャンバ本体127上の誘電体リング120によって支持される導電性または半導電性リング115を有することができる。チャンバ100は、誘電体シールによって接地されたチャンバ本体127の上で、ウエハ110の上方に所定のギャップ長を保って支持されるディスク形状の導電性オーバヘッド電極によって頂部において囲まれている。
オーバヘッド電極125は、その内部表面上に半金属材料(例えば、SiまたはSiC)で覆われた金属(例えば、アルミニウ)であるか、または半金属材料それ自体である。RF発生器150は、RF電力を電極125に印加する。発生器150からのRF電力は、発生器に整合した同軸ケーブル162を介して電極125に接続された同軸スタッブ135に結合される。このスタッブ135は、特性インピーダンス、共振周波数を有し、且つ以下に詳細に説明されるように、電極125と同軸ケーブル162、すなわちRF電力発生器150の出力間にインピーダンス整合を与える。
チャンバ本体は、RF発生器150のRFのリターン(戻り:RFの接地)経路に接続される。オーバヘッド電極125からRFの接地へのRFの経路は、誘電体シール120の容量及び誘電体シール130の容量によって影響される。ウエハの支持体105、ウエハ110及びプロセスキットの導電性または半導電性リング115は、電極125に印加されたRF電力に対する主なRFのリターン経路を与える。
RFのリターン、すなわち接地に関して計測された、電極125、プロセスキット115、120及び誘電体シール130を含むオーバヘッド電極のアッセンブリ126の容量は、一つの実施例では180pF(ピコファラッド)である。オーバヘッド電極のアッセンブリ126の容量は、電極の面積、ギャップの長さ(ウエハ支持体とオーバヘッド電極間の距離)に影響され、及び浮遊容量に影響するファクタ、特に、使用された材料の誘電率及び厚さによって影響されるシール130及び誘電体リング120の誘電値(誘電体の値)によって影響される。更に、電極のアッセンブリ126の容量(符号のない数、すなわちスカラー量)は、以下に説明されるように、特定のソース電力周波数、プラズマ密度及び動作圧力におけるプラズマの負の容量(複素数)に大きさが等しいかほぼ等しい。
前述の関係に影響するファクタの多くは、リアクタ、ウエハの大きさ、及び処理がウエハ上で一様に実行される要件によって行われるために必要なプラズマプロセス要件の現実により大部分が予め決められる。従って、プラズマの容量は、プラズマ密度及びソース電力の周波数の関数であり、一方電極の容量は、ウエハの支持体と電極のギャップ(高さ)、電極の直径及びアッセンブリの絶縁体の誘電値の関数である。
プラズマ密度、動作圧力、ギャップ、及び電極の直径は、リアクタによって行なわれるべきプラズマプロセスの要件を満足しなければならない。特に、イオン密度は、ある範囲内になければならない。例えば、シリコン及び誘電体のプラズマエッチングプロセスは、一般に109〜1012イオン/ccの範囲内にあるプラズマイオン密度を必要とする。ウエハの電極ギャップは、ギャップが約2インチ(約5.08センチ)の場合、例えば8インチ(約20.32センチ)のウエハに対して最適のプラズマイオン分布の均一性を提供する。電極の直径は、ウエハの直径より大きくない場合は少なくとも直径までの大きさであるのが好ましい。同様に、動作圧力は、一般的なエッチング及び他のプラズマプロセスに対して実用的な範囲を有する。
しかし、上記の好適な関係、特にソース周波数の選択及びオーバヘッド電極アッセンブリ126に対する容量の選択を達成するために選択される他のファクタが残っていることがわかった。電極に課せられた前述の大きさの制限及びプラズマに課せられた制限(例えば密度の範囲)内で、電極容量は、ソース電力周波数がVHF周波数であるように選択され、また電極アッセンブリ126の絶縁素子の誘電値が適正に選択された場合、プラズマの負の容量の大きさに整合されることができる。この選択によって、ソース電力周波数及びプラズマ−電極共振周波数間で整合またはほぼ整合を達成することができる。
従って、一つの実施例において、8インチのウエハに対して、オーバヘッド電極の直径は約11インチ(約27.94センチ)であり、ギャップは約2インチ(5.08センチ)であり、プラズマ密度及び動作圧力は、上述したようにエッチングプロセスに対して特有であり、VHFソース電力周波数は210MHz(他のVHF周波数も同様に有効であるが)であり、そしてソース電力周波数、プラズマ電極の共振周波数、及びスタッブの共振周波数は、全て整合されるか、ほぼ整合される。
特に、これら3つの周波数は、互いに僅かにずれており、システムのQを有利に減少する離調効果を達成するために、210MHzのソース電力周波数に関して、電極−プラズマ共振周波数は約200MHz、スタッブ周波数は約220MHzである。システムのQのこのような減少は、チャンバ内部の状態の変化に敏感でないリアクタ性能を与え、その結果全体のプロセスは非常に安定し、非常に広いプロセスウインドウ範囲にわたって実行される。
同軸スタッブ135は、全体のシステムの安定性、その広いプロセスウインドウの能力ばかりでなく他の多くの価値ある利点に貢献する特に改造された設計である。それは、円筒状内部導体140及び同心円筒状の外部導体145を有する。例えば、1の比誘電率を有する絶縁体147(図1ではクロスハッチングによって示されている)が内部導体と外部導体140、145間の空間を満たしている。内部導体と外部導体140、145は、例えば、ニッケルで被覆されたアルミニウムから作られている。
模範的な実施例では、外部導体145は約4インチ(約10.16センチ)の直径を有し、内部導体140は約1.5インチ(約3.81センチ)の直径を有している。スタッブの特性インピーダンスは、内部導体と外部導体140、145の半径及び絶縁体147の誘電率によって決まる。上述の実施例のスタッブ135は、650Ωの特性インピーダンスを有する。より一般的には、スタッブの特性インピーダンスは、ソース電極の出力インピーダンスを約20%〜40%だけ越え、好ましくは、30%だけ越える。スタッブ135は、210MHzのVHFソース電力周波数から僅かに離れているけれどもほぼ整合するように220MHzの近傍で共振するように、約29インチ(75.66センチ)の軸長−220MHzの1/4波長−を有する。
以下に説明されるように、RF発生器150からのRF電力をスタッブ135に与えるためのスタッブ135の軸長に沿って特定の点にタップ160が設けられる。発生器150のRF電力の端子150b及びRFリターンの端子150aは、スタッブ135のタップ160において内部と外部のスタッブ導体140、145にそれぞれ接続される。これらの接続は、発生器150の出力インピーダンス(一般に、50Ω)によく知られた方法で整合する特性インピーダンスを有する発生器からスタッブの同軸ケーブル162を介して行なわれる。
スタッブ135の遠い端135aにある終端導体165が内部導体と外部導体140、145を共に短絡し、その結果スタッブ135は、その離れた端135aにおいて短絡される。スタッブ135の近い端135b(短絡されない端)において、外部導体145は、環状の導電性ハウジングまたは支持体175を介してチャンバ本体に接続され、一方内部導体140は、導電性シリンダーまたは支持体176を介して電極125の中央に接続される。誘電体リング180が導電性シリンダー176と電極125の間に保持され、それらを分離する。
内部導体140は、プロセスガスや冷却液のような実用性のためのコンジット(導管)を提供する。この形状の主な利点は、一般的なリアクタと違って、ガスライン170や冷却液のライン173が大きな電位差を横切らないことである。従って、それらは金属、すなわちこの目的のために費用のかからない、より信頼性のある材料から構成される。金属製のガスライン170は、オーバヘッド電極125またはその近傍のガスの入口172からガスを供給し、一方金属製の冷却液のライン173は、オーバヘッド電極125内にある冷却液の通路またはジャケット174から冷却液を供給する。
アクティブな、共振インピーダンス変換が、RF発生器150、オーバヘッド電極アッセンブリ126及び処理プラズマの負荷間に特に配置されたスタブ整合回路によって与えられ、反射電力を最小にし、負荷インピーダンにおける広い変化を調整する非常に広いインピーダンス整合スペースを提供する。結果的に、一般的なインピーダンス製造装置の必要性を最小にしまたは避けつつ、電力の使用における前述の得がたい効率と共に、広いプロセスウインドウ及びプロセスのフレキシビリティ(柔軟性)が得られる。上述のように、スタッブの共振周波数も理想の整合からはずされ、全体のシステムのQ、システムの安定性とプロセスウインドウ及び多重プロセスの可能性を更に向上する。
電極−プラズマ共振周波数及びVHFソース電極周波数の整合
上に概略説明したように、主な特徴は、電極−プラズマ共振周波数におけるプラズマとの共振、及びソース電力周波数と電極−プラズマ周波数の整合(または近似的な整合)に適したオーバヘッド電極アッセンブリ126を構成することである。電極アッセンブリ126は優勢な容量性リアクタンスを有し、一方プラズマリアクタンスは、周波数、プラズマ密度及び他のパラメータの複素関数である。(以下に詳細に説明されるように、プラズマは、虚数項を含む複素関数であり、そして一般に負の容量に相当するリアクタンス換算して解析される。)
電極−プラズマ共振周波数は、電極アッセンブリ126のリアクタンス及びプラズマのリアクタンスによって決められる(キャパシタとインダクタのリアクタンスによって決められるキャパシタ/インダクタの共振回路の共振周波数と類似である)。従って、電極−プラズマ共振周波数は、必ずしもソース電力周波数ではなく、プラズマ密度に依存する。従って、問題はソース電力の周波数を見つけることである。その周波数で、電極−プラズマ共振周波数がソース電力周波数と等しいか、あるいはほぼ等しいように、プラズマリアクタンスに、プラズマ密度の特定の範囲及び電極の大きさに対する実際の制限の制約が与えられる。
プラズマ密度(プラズマリアクタンスに影響を与える)及び電極の大きさ(電極のキャパシタンスに影響を与える)は、あるプロセスの制約に適合しなければならないので、この問題は非常に困難である。特に、誘電体と導体のプラズマエッチングプロセスに対して、プラズマ密度は、プラズマリアクタンスについての制約である109−1012イオン/ccの範囲内になければならない。更に、例えば、直径8インチのウエハを処理するための均一なプラズマイオン密度の分布は、約2インチの、ウエハと電極のギャップすなわち高さ及び電極の容量についての制約であるウエハの直径のオーダまたはそれより大きい電極の直径によって実現される。一方、直径12インチのウエハに対しては、異なるギャップを用いることができる。
従って、電極の容量をプラズマの負の容量の大きさに整合する(またはほぼ整合する)このとによって、電極−プラズマ共振周波数及びソース電力周波数が少なくともほぼ整合される。上に挙げた一般的な導体及び誘電体のエッチングプロセス条件(すなわち、109−1012イオン/ccのプラズマ密度、2インチのギャップ、及び約11インチのオーダの電極直径)に対して、ソース電極周波数がVHF周波数であれば、整合が可能である。他の条件(例えば、異なるウエハの直径、異なるプラズマ密度など)は、リアクタのこの特徴を実行する点でこのような整合を実現するために異なる周波数範囲を決定することができる。
以下に詳細に説明されるように、誘電体と金属のプラズマエッチング及び化学気相堆積を含む幾つかの主な応用において、8インチのウエハを処理するための好適なプラズマ処理条件の下で、上述されたプラズマ密度を有する一つの代表的な動作例においてプラズマ容量は−50〜−400pFであった。
模範的な実施例において、オーバヘッド電極アッセンブリ126の容量は、11インチの電極直径、約2インチのギャップ長(電極とペデスタルとの間隔)を使用することによって、また、9の誘電率、1インチのオーダの厚さを有するシール130に適した誘電体材料、及び4の誘電率と10mmのオーダの厚さを有するリング120に適した誘電材料を選択することによって、この負のプラズマ容量の大きさに整合される。
電極アッセンブリ126とプラズマの組み合わせは、いま説明されたそれらの容量の整合を仮定して、電極125に与えられるソース電力周波数に少なくともほぼ整合する電極−プラズマ共振周波数で共振する。発明者たちは、好適なエッチングプラズマ処理レシピ、環境及びプラズマに対して、この電極−プラズマ共振周波数とソース電極周波数は、VHF周波数で整合されまたはほぼ整合されることができる。そしてこのような周波数整合またはほぼ整合が実現されることは大きな利点である。
模範的な実施例において、プラズマの負の容量の前述の値に相当する電極−プラズマ共振周波数は、以下に説明されるように約200MHzである。ソース電力周波数は210MHz、すなわち以下に説明される他の利点を実現するために、電極−プラズマ共振周波数より上方に僅かにずらされている近似の整合である。
プラズマの容量は、他の事項、プラズマの電子密度間の関数である。これは、良好なプラズマ処理条件を与えるために、ほぼ109〜1012イオン/ccの範囲に保たれる必要のあるプラズマのイオン密度に関係する。この密度は、ソース電力周波数と他のパラメータと共に、プラズマの負の容量を決定し、従って、その選択は、以下に更に詳述されるようにプラズマの処理条件を最適化するための必要性によって制約される。
オーバヘッド電極アッセンブリの容量は、多くの物理的ファクタ、例えば、ギャップ長(電極125とウエハの間隔)、電極の面積、誘電体シール130のための誘電体の誘電正接(loss tangent)、電極125と接地されたチャンバ本体127間の誘電体シール130の誘電率の選択、プロセスキットの誘電体シール130のための誘電率の選択、及び誘電体シール130と120の厚さとリング180の厚さと誘電率によって影響される。
これによって、これらの間で行なわれる選択及びオーバヘッド電極の容量に影響を及ぼす他の物理的ファクタによる電極アッセンブリの容量の調節が可能になる。発明者たちは、この調整の範囲が負のプラズマの容量の大きさに対するオーバヘッド電極アセンブリの容量の整合の必要な程度を達成するのに十分であることを発見した。特に、シール130及びリング120に対する誘電体材料及び大きさは、所望の誘電率及びそれにより生じる誘電値を与えるように選択される。
幾つかの電極の容量に影響する同じ物理的ファクタ、特にギャップ長が以下の実用可能性:大きな直径のウエハを扱う必要性;ウエハの全直径にわたってプラズマイオン密度の分布の良好な均一性を伴って大きな直径のウエハを扱う必要性;及びイオン密度体イオンエネルギーの良好な制御を有する必要性によって決定または制限されるという事実にかかわらず、電極の容量とプラズマの容量を整合することは達成される。
プラズマの容量に対する先の範囲及び整合オーバヘッド電極の容量が与えられた場合、電極−プラズマ共振周波数は、210MHzのソース電力周波数に対して約200MHzであった。
この方法で電極アッセンブリ126の容量を選択すること、そしてその結果の電極−プラズマ共振周波数とソース電力周波数を整合することの大きな利点は、ソース電力周波数に近い電極とプラズマの共振が広いインピーダンス整合と広いプロセスウインドウを与え、結果的に、プロセス条件の変化に対して非常に大きな不感受性、従って、大きな、性能の安定性を与える。
全体の処理システムは、動作条件の変化、例えば、プラズマインピーダンスのシフトに殆ど感じないようになり、従って、プロセスの適用可能性の大きな範囲と共により信頼されるようになる。本明細書において以下に説明されるように、この利点は、更に電極−プラズマ共振周波数とソース電力周波数間の小さなずれによって増大される。
何故プラズマは負の容量を有するか
プラズマの容量は、複素数であり、自由空間の電気的誘電率ε0、プラズマの電子周波数ωpe、ソース電力周波数ω、及び電子の中性衝突周波数γenの関数であるプラズマの電気的誘電率εによって支配され、以下の式に従う。

ε=ε0[1−ωpe 2/(ω(ω+iγen))]、ここでi=(−1)1/2である。

(プラズマの電子周波数ωpeは、プラズマ電子密度の単純な関数であり、プラズマ処理に関する良く知られた刊行物に定義されている)
一つの動作例において、プラズマ密度が109〜1012cc-1であるように印加された充分なRF電力を有し、10mT〜200mTの範囲のチャンバ圧力で、中性種はアルゴン、プラズマ電子周波数は約230MHz、RFソース電力周波数は約210MHzであった。プラズマエッチングプロセスに適した代表的な条件であるこれらの条件の下で、プラズマは、一般に負の容量を有する。何故ならば、先の式によって定義されたその実効電気誘電率が負であるからである。
これらの条件の下で、プラズマは、−50〜−400pFの負の容量を持った。より一般項から分かるように、プラズマの容量は、プラズマの電子密度(ソース電力周波数と電子中性衝突周波数と同様に)の関数として、ある所望の範囲への誘電体エッチング、金属エッチング及びCVDのような主な応用に対する好適なプラズマプロセスの実現性によって一般に制限され、VHFソース電力周波数で負の値を有する傾向にある。プラズマのこれらの特性を利用することによって、リアクタの電極容量の整合及び周波数整合の特徴がプロセスウインドウの能力と柔軟性を達成し、動作の安定性は前のように可能ではない。
スタッブ135によって与えられたインピーダンス変換
スタッブ135は、RF発生器150の50Ωの出力インピーダンスと電極アッセンブリ126とチャンバ内のプラズマの組み合わせによって示される負荷インピーダンス間にインピーダンス変換を与える。このようなインピーダンス整合に対して、発生器とスタップの接続点及びスタッブと電極の接続点におけるRF電力の反射は殆どないか、または全くない (少なくともRF発生器150のVSWRの制限を超えて反射しない)。これはどのようにして達成されるのかを以下に説明する。
発生器150の所望のVHF周波数において、及びプラズマエッチングプロセスに対して好適なプラズマ密度とチャンバ圧力(すなわち、それぞれ109〜1012イオン/cm3及び10mT〜200mT)において、プラズマ自体のインピーダンスは、約(0.3+(i)7)Ωであり、ここで、0.3はプラズマインピーダンスの実数部、i=(−1)1/2、及び7はプラズマインピーダンスの虚数部である。電極とプラズマの組み合わせによって表される負荷インピーダンスは、このプラズマインピーダンスの関数であり、電極126の容量の関数である。
上述したように、電極アッセンブリ126の容量は、約200MHzの電極−プラズマ共振周波数によって電極アッセンブリ126とプラズマ間で共振を達成するように選択される。スタッブと電極のインタフェースにおけるRF電力の反射は、最小にされるか、または避けられる。何故ならば、スタッブ135の共振周波数は、2つが少なくとも共に近くで共振するように電極−プラズマ共振周波数にあり、またはその近くにあるように設定されるからである。
同時に、発生器とスタッブのインタフェースにおけるRF電力の反射波が最小にされるか、避けられる。何故ならば、スタッブ135の軸長に沿ったタップ160の位置は、タップ170において、スタッブ135における定在波電圧と定在波電流の比が発生器150の出力インピーダンスか、またはケーブルの特性インピーダンス(共に約50W)の近くにあるものだからである。これを達成するためにタップ160がどのように配置されるかを以下に説明する。
スタッブのタップ160の軸上の位置
同軸スタッブ135の軸の長さは、上述されたように、電極−プラズマ共振周波数の近くである“スタッブ”周波数(例えば、220MHz)の半波長の倍数であるのが好ましい。代表的な実施例においては、この倍数は2で、その結果同軸スタッブの長さが“スタッブ”周波数の約半波長、すなわち約29インチ(73.66cm)である。
タップ160は、スタッブ135の長さに沿った特定の軸上の位置にある。この位置において、発生器150の出力周波数におけるRF信号の定在波電圧と定在波電流の比は、RF発生器(例えば50Ω)の出力インピーダンスと整合する入力インピーダンスに相当する。
これは、図2(A)及び図2(B)に示され、スタッブ135における電圧と電流定在波が短絡された外部スタッブの端135aにおいて、それぞれナル(null)とピーク(peak)を有する。タップ160に対する所望の位置は、定在波電圧と電流の比が50Ωに相当する場合、短絡した端部から内方へ距離Aの位置にある。この位置は、当業者によって、定在波比が50オームであるのが何処かを経験的に決定することによって容易に見つけられる。
RF発生器の出力インピーダンス(50Ω)に整合回路を与えるタップ160の距離すなわち位置Aは、この明細書で後述されるスタッブ135の特性インピーダンスの関数である。タップ160が距離Aに正確に位置されると、もし、RF発生器が3:1の電圧定在波比(VSWR)にわたって一定の供給電力を維持することができる代表的なものであれば、インピーダンス整合スペースは、負荷インピーダンスの実数部における9:1の変化を調整する。
一つの模範的な実施例において、インピーダンス整合スペースは、負荷インピーダンスの実数部においてほぼ60:1の変化を調整するように大きく拡張される。この劇的な結果は、同軸スタッブ135の短絡された外部の端部135Aに向かって位置Aにおいて正確な50W点からタップ160を僅かにシフトすることによって達成される。このシフトは、例えば模範的な実施例では波長の5%(すなわち、約1.5インチ(3.81センチ))である。
図3及び図4を参照して以下に説明されるように、この僅かにシフトされたタップの位置において、タップ160におけるRF電流分布は、プラズマの負荷インピーダンスにおける変動を補償するために、最適になるスタッブにおける電流を引き算(減少)する、電流へ足し算(増加)する。負荷インピーダンスの実数部における9:1の振れを60:1に調整するものから整合スペースを増大するのに、この補償は十分である。
この振舞いは、タップ点がAの“整合”位置からはなれて移動するに従って、スタッブ135における定在波電流の位相が、電極−プラズマ負荷インピーダンスとのインピーダンス不整合により敏感になる傾向のためであると思われる。上述のように、電極アッセンブリ126は、通常の動作条件の下で、プラズマの負の容量に整合される。この容量は、好適なVHFソース電力周波数(210MHz)において、−50〜−400pFである。この容量のとき、プラズマは(0.3+i7)Ωのプラズマインピーダンスを示す。従って、0.3オームは、システムが同調されるプラズマインピーダンスの実数部である。
プラズマ状態が変動するに従って、プラズマ容量及びインピーダンスはそれらの通常の値から離れて変動する。プラズマ容量が、電極125が整合された容量から変動するに従って、電極−プラズマ共振の位相は変化し、スタッブ135における電流の位相に影響を及ぼす。しがって、スタッブの定在波電流の位相がシフトするに従って、タップ160に供給されたRF発生電流は、移相の方向に依存して、スタッブの定在波電流に足し算されるか、それから引き算される。Aにおける50Ωの位置からのタップ160の偏移は、波長の本の一部(例えば、5%)に制限される。
図3は、プラズマの変動によりプラズマインピーダンスの実数部分が増加した場合の、スタッブ135における定在波電流を示す。図3において、電流定在波振幅は、スタッブ135に沿った軸上の位置の関数としてプロットされている。横軸の0.1の位置における定在波電流の振幅の不連続性はタップ160の位置に相当する。
図3のグラフにおいて、プラズマインピーダンスの実数部は、システムが整合される(すなわち、電極の容量が負のプラズマ容量に整合する)通常のプラズマインピーダンスより高いので、インピーダンス不整合が生じる。この場合、タップ160における電流は、スタッブ135における定在波電流から引き算される。この引き算によって、図3のグラフにおける不連続性またはヌル(null)を生じ、増加した負荷を相殺するように供給電力を減少する。これにより、高い負荷(R)による供給された電力(I2R)の対応する増加を避ける。
図4は、プラズマインピーダンスの実数部が減少した場合の、スタッブ135における定在波電流を示す。図4において、電流定在波の振幅が、スタッブ135に沿った軸上の位置の関数としてプロットされている。0.1の位置における定在波電流の振幅がタップ160の位置を示している。図4のグラフにおいて、プラズマインピーダンスの実数部は、システムが同調される通常のプラズマインピーダンスより低い。
この場合、スタッブ160における電流は、スタッブ135における定在波電流に加わる。この追加は、減少された負荷を相殺するように供給電力を増加し、減少された負荷Rによる供給された電力I2Rにおける付随した減少を避ける。この補償に関して、負荷インピーダンスにおける非常に大きな変化を整合スペースが著しく増加されるように調整することができる。
負荷インピーダンスの実数部における60:1のスイングを調整する整合スペースのこの拡張は、リアクタのプロセスウインドウ及び信頼性を増大する。これは、特定のプロセスまたは適用中に動作状態がシフトするか、またはリアクタがいろいろな適用のためのいろいろな動作レシピで動作されるに従って、プラズマインピーダンス、特にインピーダンスの実数部が変化するからである。
従来技術において、このような変化は、そのシステムに用いられている従来の整合回路の範囲を容易に越えるので、供給された電力は、可変プロセスを支えるために最早充分に制御することができず、プロセスは失敗する。本リアクタにおいては、供給電力が所望のレベルに維持される負荷インピーダンスの実数部の範囲が非常に増加されるので、プロセスの失敗に導いたプラズマインピーダンスの変化は、本発明のこの特徴を実施するリアクタには殆ど、或いは全く影響しない。
従って、本発明は、リアクタが特定のプロセスまたは適用中に動作状態の大きな変化に耐えることができるようにする。代わりに、本発明は、リアクタがプロセス状態の広い範囲(著しい利点)を含む多くのいろいろな応用に用いられることができるようにする。
更なる利点として、この広いインピーダンス整合を与える同軸スタッブ135は、“移動部分”、例えば、従来のインピーダンス整合装置の典型的な可変コンデンサー/サーボまたは可変周波数/サーボを有しない簡単な受動装置である。従って、それは、従来のインピーダンス整合装置より費用がかからず、且つ非常に信頼できるものである。
プロセスウインドウを広くする動作及び共振周波数の離調(デチューニング)
他の特徴によると、スタッブの共振周波数、電極−プラズマ共振周波数、及びプラズマソース電力周波数を互いに僅かにオフセットすることによって、プロセスウインドウを広くするために、システムのQは減少される。上述したように、スタッブ共振周波数は、スタッブ135の軸長が1/2波長である周波数であり、電極−プラズマ共振周波数は、電極アッセンブリ126とプラズマが共に共振する周波数である。模範的な実施例において、スタッブ135は、その共振周波数が220MHzである長さに切断され、RFソース電力発生器150は、210MHzで動作するように選択され、そしてその結果得られた電極−プラズマ共振周波数は、約200MHzであった。
3つとも同じ周波数ではなく、プラズマ共振、スタッブ共振及びソース電力周波数のためのこのような3つの異なる周波数を選択することによって、システムのQは、幾らか“離調された”。従って、それは低い“Q”を有する。高いVHFソース電極周波数の使用によって、Qは、(エッチングの好ましい動作状態の下で電極とプラズマ容量の整合を容易にするのに加えて)同様に比例して減少する。
システムのQを減少することは、システムのインピーダンス整合スペースを広くし、その結果、その性能は、プラズマ状態の変化または製造の許容度(トレランス)からの偏差の影響を受けにくい。例えば、電極−プラズマ共振は、プラズマ状態の変動により変動する。より小さなQについて、インピーダンス整合(この明細書において前述した)に必要な、スタッブ135と電極プラズマの組み合わせ間の共振は、プラズマ−電極共振の与えられた変化に対して殆ど変化しない。
結果として、プラズマ状態の変動は、インピーダンス整合に殆ど影響を及ぼさない。特に、プラズマの動作状態の与えられた偏差は、RF発生器150の出力にVSWRの僅かな増加を生じる。従って、プラズマのプロセス条件(圧力、ソース電力レベル、ソース電力周波数、プラズマ密度など)の広いウインドウでリアクタを動作することができる。
更に、製造の許容度は、コストを節約するために緩められ、同じモデルのリアクタ間でより均一な性能が達成される。これは重要な利点である。関連した利点は、同じリアクタがいろいろなプロセスレシピ、いろいろなアップリケーション、例えば、導体のエッチング、誘電体のエッチング及び/又は化学気相堆積などを行なうのに有用である、著しく広いプロセスウインドウを有することができる。
プロセスウインドウを広くするためスタッブの特性インピーダンスの最小化
同調スペースを広くする、すなわちシステムのQを減少する他の選択は、スタッブの特性インピーダンスを減少することである。しかし、スタッブの特性インピーダンスは、適当な整合スペースを確保するために発生器の出力インピーダンスを越えるのが好ましい。従って、システムのQは減少されるのが好ましいが、ただスタッブ135の特性インピーダンスが信号発生器150の出力インピーダンスを越える量を減少する程度までである。
同軸スタッブ135の特性インピーダンスは、内部及び外部導体140、145の半径の、及びそれらの間にある絶縁体147の誘電率の関数である。スタッブの特性インピーダンスは、プラズマ電源150の出力インピーダンス及び電極125おける入力インピーダンス間に必要なインピーダンス変換を与えるように選択される。
この特性インピーダンスは、最小の特性インピーダンスと最大の特性インピーダンスの間にある。スタッブ135の特性インピーダンスを変化することは、図2の波形を変化し、従って、タップ160の所望の位置(すなわち、スタッブ135の遠い端からのその変位A)を変化する。スタッブ135の許容できる最小の特性インピーダンスは、図2の距離Aが0であるところであるので、タップ160は、定在波電流及び電圧間の50Ω比を知るために、電極125の反対にある同軸スタッブ135の遠い端135a上に配置されなければならない。
スタッブ135の許容できる最大の特性インピーダンスは、図2の距離Aがスタッブ135の長さに等しいものであるので、タップ160は、定在波電流及び電圧間の50Ω比を知るために、電極125に接近している同軸スタッブ135の近い端135bに近くなければならない。
最初の実施例において、同軸スタッブの特性インピーダンスは、適当な整合スペースを提供するために、RF発生器150の出力インピーダンスより(約30%だけ)大きくなるように選択された。スタッブのインピーダンスは、RF発生器の出力インピーダンスを越えなければならない。何故ならば、インピーダンス整合状態は、以下の式を満足するようにタップ点160の位置を選択することによって達成されるからである。

gen=a2[Zstub 2/rplasma

ここで、aは、タップ点の位置によって決められ、0と1の間で変化する。(aは全体のスタッブ135のインダクタンスに対する、遠い端部135bとタップ160間のスタッブ135の小さな部分のインダクタンスの比に相当する。)
aは1を越えることができないので、前記の式に対する解を見つけるために、スタッブの特性インピーダンスは、発生器の出力インピーダンスを越えなければならない。しかし、システムのQは、スタッブの特性インピーダンスに直接比例するので、スタッブの特性インピーダンスが発生器の出力インピーダンスを越える量は、実用上Qを低く保つためには幾らか小さくするのが好ましい。模範的な実施例において、スタッブの特性インピーダンスは、約15オームだけ発生器の出力インピーダンスを越える。
しかし、他の実施例においては、インピーダンス整合における幾らかの減少を伴って大きな電力効率を達成するように、同軸スタッブの特性インピーダンスをプラズマ電源(発生器)の出力インピーダンスより小さくなるように選ぶことができる。
スタッブのインピーダンス変換によって与えられる増大した電力効率
この明細書の初めに説明したように、プラズマエッチングプロセスを助けるプラズマ動作状態(例えば、プラズマ密度)が非常に小さな実数(抵抗性)部(例えば、0.3Ωより小さい)及び小さな虚数(リアクティブ)部(例えば、7Ω)を有するプラズマインピーダンスを生じる。容量性損失は、システムの組み合わせ電極−プラズマ領域において優勢である。何故ならば、電極の容量は、リアクタのその部分における電力の流れに対して優勢なインピーダンスとなるからである。
従って、電極−プラズマの組み合わせにおける電力損失は、電極−プラズマの組み合わせに関する電圧に比例する。反対に、スタッブ135のインダクタンス及び抵抗は、スタッブ135における電力の流れに対してインピーダンスの優勢な素子であるから、誘導性及び抵抗性損失は、スタッブ135において優勢である。従って、スタッブ135における電力損失は、スタッブにおける電流に比例する。スタッブの特性インピーダンスは、電極−プラズマの組み合わせによって表されるインピーダンスの実数部より非常に大きい。従って、より高いインピーダンスのスタッブ135においては、電流が高く、電圧が低い低インピーダンスプラズマにおけるよりも、電圧は高く、電流は低くなる。
従って、スタッブ135とプラズマ−電極の組み合わせ間のインピーダンス変換は、スタッブ135において高い電圧及び低い電流(抵抗性及び誘導性損失が優勢であり、これらが最小化される場合)、及びプラズマ/電極においてそれに応じた低い電圧及び高い電流(容量性損失が優勢であり、これらが最小にされた場合)を生じる。この方法において、システムにおける全体の電力損失は、最小化され、その結果電力効率は著しく改善される。これは大きな利点である。模範的な実施例において、電力効率は約95%またはそれ以上になる。
従って、上述のように構成されたスタッブ135は、動作状態の非常に広い範囲またはウインドウにわたって発生器と電極−プラズマインピーダンス間にインピーダンス整合または変換を行なうばかりでなく、更に、電力効率の著しい改善を行なうように働く。
クロス−グランディング(Cross-Grounding)
ウエハ表面におけるイオンエネルギーがプラズマ密度/オーバヘッド電極の電力に無関係に制御される。イオンエネルギーのこのような独立した制御は、HF周波数のバイアス電源をウエハに印加することによって行なわれる。この周波数(一般的には、13.56MHz)は、プラズマ密度を制御するオーバヘッド電極に印加されるVHF電力より著しく低い。バイアス電力は、従来のインピーダンス整合回路210を介してウエハ支持体105に結合されるバイアス電力のHF信号発生器200によってウエハに印加される。バイアス発生器200の電力レベルは、ウエハ表面近くのイオンエネルギーを制御し、一般にプラズマソース電力発生器150の電力レベルの何分の1かである。
上述されたように、同軸スタッブ135は、外部のスタッブ端部に短絡導体165を有し、スタッブの内部導体140と外部導体145間に短絡回路を与える。図2に示されるように、短絡導体165は、VHF定在波電流のピークとVHF定在波電圧のヌルの位置を確立する。
しかし、短絡導体165は、スタッブ共振とプラズマ/電力共振の結合、それらの双方はVHFソース電力周波数であるかそれに近いため、VHF印加電力を短絡しない。しかし、導体165は、他の周波数、たとえばウエハに加えられる(HFバイアス発生器200から)HFバイアス電源に対して接地するように直接短絡として現れる。また、それは高い周波数、例えばプラズマシースに生成されるVHFソース電力周波数の高調波を短絡する。
ウエハ110とウエハ支持体105、HFインピーダンス整合回路210及びそれらに接続されたHFバイアス電源200の組み合わせは、オーバヘッド電極125に印加されるVHF電力に対して接地ために非常に低いインピーダンスすなわち近似短絡を与える。結果として、システムは、クロス接地され(cross-grounded)、そしてHFバイアス信号は、オーバヘッド電極125と短絡された同軸スタッブ135を介して接地されるためにリターンされ(戻され)、オーバヘッド電極125上のVHF電力信号は、ウエハ、HFバイアスインピーダンス整合210及びHFバイアス電力発生器200を通る(VHFに対して)非常に低いインピーダンス経路を介して接地されるためにリターンされる。
ウエハの面とオーバヘッド電極125の面間のチャンバ側壁の露出部分は、電極125の大きな面積及び比較的短い電極とウエハ間のギャップのために、オーバヘッド電極125に印加されたVHF電力に対する直接的なリターン(戻り)経路として殆ど、または全く役割を果たさない。実際に、チャンバの側壁は、磁界絶縁または誘電体被覆、または環状誘電体の挿入または取外し可能なライナーを用いてプラズマから分離されることができる。
垂直の電極−ペデスタル通路内にあり、そしてチャンバ100の他のパーツから離れているオーバヘッド電極125からでるVHFプラズマソース電力の電流を制限するために、ウエハ110の面における効果的な接地またはリターン電極の面積は、ウエハまたはウエハ支持体105の物理的な面積を越えて大きくされ、その結果それはオーバヘッド電極125の面積を越える。これは、環状のプロセスキット115の容易によって行なわれ、それらの導電性または半導電性リング部分は、一般にウエハと同一平面でウエハを囲んでおり、浮遊容量を接地されたチャンバ本体に与える。これは、オーバヘッド電極からのVHF電力信号に対して、ウエハ110の面にある“リターン”電極の有効半径を越える。
模範的な実施例において、プロセスキット115の導電性または半導電性リング部分は、プロセスキット115の誘電体リング部分120によって接地されたチャンバ本体から絶縁される。誘電体リング120の厚さ及び誘電率は、ウエハ110を通るVHF接地電流、及びプロセスキット115の導電性または半導電性リング部分を通るVHF接地電流が望ましい比を達成するように選択される。
ウエハの表面及び電極125間の垂直経路内のバイアス発生器200からのHFプラズマバイアス電極からの電流を制限し、チャンバの他のパーツ(例えば、側壁)への電流を避けるために、オーバヘッド電極125は、ウエハまたはウエハ支持体105より著しく大きい有効なHFリターン電極面積を提供する。ウエハ支持体105の面におけるプロセスキット115のリング部分は、HFバイアス電力をチャンバへ結合する点では著しい役割を果たさないので、HFバイアス電力を結合するための有効な電極面積は、ウエハの面積とウエハ支持体に実質的に制限される。
プラズマの安定性の増強
プラズマの安定性は、スタッブ135の後ろ側でスタッブの内部及び外部導体を横切って接続された短絡導体165へプラズマのDC結合を除去することによって増強される。これは、同軸スタッブの内部導体140と電極125間に薄い容量性リング180を設けることによって行なわれる。図1の実施例において、リング180は、下部にある電極125と導電性環状内部ハウジングの支持体176間に挟まれる。ここに説明される模範的な実施例において、容量性リング180は、選択されたバイアスの周波数、約13MHzに依存して、約180ピコファラッドの容量を有した。
容量のこのような値について、容量性リング180は、上述のクロスグランディング形状を妨げない。クロスグランディング形状において、ウエハペデスタル上のHFバイアス信号は、スタッブ135を介してHFバイアス発生器150のRFリターン(戻り)端子に戻され、一方、電極125からのVHFソース電力信号は、ウエハペデスタルを介してVHFソース電力発生器150のRFリターン端子に戻される。
図5は、周波数の関数としてVHF電源とオーバヘッド電極125間の反射係数を示すグラフである。このグラフは、上述の非常に有利な低いシステムQを示す反射係数が6dB以下である周波数の非常に広いバンドの存在を示している。
図6は、タップ160がスタッブの短絡された端部から図2(B)の距離Aに置かれた場合に同軸スタッブに沿って位置の関数として定在波電流を示す。
図7は、同軸スタッブ135の内部導体140にテーパが付けられたリアクタの他の実施例を示し、オーバヘッド電極125に隣接するスタッブの近い端部135bにある大きな半径とスタッブの遠い端135aにおける小さな半径を有している。この特徴は、タップ160における同軸スタッブ135によって示される低いインピーダンス(例えば、50W)とオーバヘッド電極125における同軸スタッブ135によって示される高いインピーダンス(例えば、64W)間に変換を与える。また、図7に示されるように、スタッブ135は、曲線である必要はなく、代わりに直線であってもよい。
先の説明から理解することができるように、本発明のチャンバは、HF電源以外のVHFプラズマソース電力のRF電源によって駆動されるオーバヘッド電極を有する容量性結合リアクタに関する。発明者達は、HF周波数と異なるVHFソース電力周波数で、プラズマと共振するオーバヘッド電極を同調することが実用的であり、いままで達成されなかった安定性と効率及び他の多くの利点を導くことを発見した。
前の実施例のVHFの容量性結合プラズマリアクタは、非常に高いエッチングの選択性及び効率という利点を有する。大きな効率は、このリアクタに誘導的に結合されるリアクタにおいて達成されるプラズマに匹敵する比較的高い密度のプラズマを生成する能力を与える。更に、VHFの容量性結合リアクタは、誘導的に結合されたリアクタのエッチングの選択性よりはるかに優れたエッチングの選択性を示す。これは、VHFの容量性結合リアクタは、(誘導性結合リアクタと比較して)プロセスのガス種のはるかに少ない滞留時間、従って揮発性種、例えばフッ素の少ない解離を経験する。
半導体デバイスの形状は、デバイスの高スピードを達成するために、マイクロエレクトロニクス産業によって常に減少されている。デバイスの大きさ又は形状のこのような減少は、例えば、デバイス構造内のコンタクトホールのアスペクト比を増大する。その結果、エッチングプロセスは、それに応じて大きなエッチング速度とエッチングの選択性を持たなければならない。しかし、10:1のオーダの高いシリコン酸化物とホトレジストのエッチングの選択性を有する二酸化シリコンの高いエッチング速度、例えば9000Å/分を達成することは、たとえ高効率のVHFの容量的に結合されたプラズマリアクタであっても、非現実的あるように思える。
これは、この性能がこのようなリアクタが生成するウエハまたはワークピースの表面上でのプラズマ分布の均一性に著しい改善を必要とするからである。さもないと、デバイス形状が減少するにしたがって、プロセスがオーバエッチング(高いプラズマイオン密度の領域において)または早いエッチングの停止(低いプラズマ密度の領域において)によって失敗し易くなる。このオーバエッチング問題を避けるために、エッチング速度を減少する全体のプラズマ密度が減少されなければならない。従って、プラズマイオン分布の均一性を改善する方法を見出すことが大きな課題である。
もし、プラズマイオン密度分布の均一性が何とかVHFの容量性結合されたリアクタ内で改善されることができるならば、優れたエッチンの選択性と高いエッチング速度が同じリアクタで実現されるであろう。
不均一なプラズマ密度分布の問題を克服するリアクタの一つの型は、磁気的に増強された反応性イオンエッチング(MERIE)プラズマリアクタである。MERIEリアクタは、一般に、HF周波数のRFソース電力がウエハの支持ペデスタルに印加され、チャンバの天井又は側壁を通して戻される容量性結合リアクタである。その主な特徴は、ワークピースの面を横切ってプラズマを循環し、または掻き回すゆっくり循環する磁界を生成する電磁石のアレーである。
この掻き回す動作によって、ワークピース表面を横切るプラズマ密度分布の高い均一性が得られる。しかし、MERIEリアクタは、VHFの容量性結合リアクタの高プラズマイオン密度及び高エッチング速度をもっていない。何故ならば、効率が良くないからである。更に、MERIEリアクタはいろいろな問題を有している。
(1)MERIEリアクタは、ウエハ支持ペデスタルとウエハ支持ペデスタル内の金属供給ライン間でアークが発生しやすい。このアークは、プラズマイオン発生からプラズマソース電力をそらし、従って、プラズマイオン密度及びエッチング速度の制御を困難にする。極端に小さなデバイス形状のため、エッチング速度が臨界的であるプロセスにおいて、制御のこのようなロスはプロセスの失敗につながる。
(2)MERIEリアクタは、デバイスの損傷を増進する傾向がある強力な磁石のアレー(10−100ガウス)を用いなければならない。このような強力な磁界は、プラズマを循環させるのに加えてワークピースの近くに充分なプラズマ密度を生成するために必要である。このような強力な磁界は、ワークピースまたはウエハに接近しているプラズマシースに対してプラズマの相当部分を保持ために必要である。
しかし、MERIEリアクタの主な欠点は、それがVHFの容量性結合リアクタが容易に与える高プラズマ密度を達成することができないことである。従って、リアクタは高プラズマイオン密度及び高エッチング選択度(例えば、VHFの容量性結合リアクタ)を得ることができるリアクタは、高い均一性のプラズマイオン分布を得ることができないに違いないようである。更に、良好なプラズマイオン密度分布の均一性(例えば、MERIEリアクタ)を有するリアクタは、高プラズマイオン密度を生成することができないに違いないと思われる。
プロセスガスを容量性結合プラズマリアクタに供給する、優れた方法は、上方の天井を通してプロセスガスを注入することである。本容量性結合プラズマリアクタにおいて、上方の天井は、同軸スタッブ又は同等のインピーダンス整合素子を介してVHFのRF電力発生器に結合されるソース電力の電極である。天井からプロセスガスを注入するために、天井の電極は、“シャワーヘッド”、すなわちプロセスガスを注入するため導体層を通過する小さなガス注入ポート(口)を有する導体層である。
幾つかの例において、プラズマ放電、すなわち“アーク”が天井のガス注入ポート内に発生する傾向がある。これは、ガス注入ポートの内部表面からオーバヘッド電極又はシャワーヘッドの材料を除去するプラズマ放電の危険を有している。従って、プラズマに導入される種(例えば、金属種)は、ウエハまたはワークピースの表面を汚染し、それらの上で作られているマイクロエレクトロニクスデバイスを損傷する。
従って、ガス注入ポート(またはバルクプラズマの外側のどこか)内に、特にVHFプラズマソースRF電源に接続されたオーバヘッド電極とガス分配用のシャワーヘッドの組み合わせを有するプラズマリアクタ内にプラズマを点弧する傾向を減少または除去することが必要である。
特に、オーバヘッド電極がバイアス電力用のアノード及びソース電力用のカソードであり、したがってRF及びDC電流の支配を受けるので、オーバヘッド電極は、プラズマとの接触に耐えることができる。もし、オーバヘッド電極を介して直接これらの電流を通すのを避け、またはプラズマの電極との直接接触するのを避ける方法を見つけることができるならば、リアクタを動作するコストは減少されるであろう。
一般にプラズマリアクタ内に見出される問題は、プラズマシース内の第2及び第3高調波の発生である。本リアクタにおいて、プラズマVHFソース電力がオーバヘッド電極によって与えられるけれども、プラズマバイアス電力は、ウエハ支持ペデスタルにHF信号によって与えられる。HF周波数において、RF電力の大部分は、シース内で消費され、残りはバルクプラズマを維持する。
プラズマシースは、非線形負荷であり、従って、ウエハ支持ペデスタルに印加されるHFバイアス信号の第2及び/又は第3高調波を形成する。これらの高調波の存在は、プロセス性能がこれらの高調波の存在で害されるようにプラズマの振る舞いを変化する。特に、エッチング停止又はオーバエッチングを避けるプロセス制御は、より困難になり、エッチング速度は減少される。従って、プラズマに影響を及ぼすこれらの高調波の発生を減少することが必要である。
本リアクタにおいて、同軸同調スタッブの長さがVHFのソース電力信号の波長に関連される同軸同調スタッブは、リアクタの残りより大きなフットプリントを有することができる。従って、同軸同調スタッブの利点のいずれをも犠牲にすることなくこのフットプリントを減少することができることができれば、利点になるであろう。
本発明は、図1−7のVHFの容量結合リアクタを有するMERIEのある特徴を組み合わせることがそれらの各々に対して上述した問題を解決し、このような組み合わせが全ての利点を有し、2つの形式のリアクタの欠点を有しないものである。この組み合わせによるリアクタは、図1−7のVHF容量性結合リアクタにMERIE磁石のアレーを加えることによって実現される。
MERIE磁石によるプラズマの循環は、VHFリアクタにおけるプラズマイオン分布の不均一性の問題を解決する。しかし、MERIEリアクタは、オーバヘッドガス分配プレートすなわちシャワーヘッドから供給されるべきプロセスガスを必要とする。本明細書において以下に詳しく説明されるように、図1−7のVHF容量性結合リアクタにおいてこのようなガス分配プレートを設けることは、このようなリアクタの高プラズマ密度による問題をはらんでいる。
特に、このようなリアクタは、ガス分配プレートにおけるガス注入ポート内にアークが発生しやすい。MERIEリアクタとのVHF容量性結合リアクタの所望の組み合わせを達成するために、ガス注入ポート内にアークが発生する問題は、解決されなければならなかったが、この解決については、本明細書の後の部分で説明される。
この組み合わせの一つの驚くべき結果は、図1−7のVHFリアクタの高い効率がMERIE磁石の磁界が2つまたはそれ以上の要因によって減少される高プラズマ密度を生成することである。特に、MERIE磁界は、一般に約10−100ガウスの範囲になければならない。しかし、VHF容量性結合リアクタにおいては、非常に大きなプラズマイオン密度のために、MERIE磁界は、磁界の半分、すなわち約30〜60ガウスで同じプラズマ分布密度を達成することができる。
これは、MERIE磁界は、MERIE磁界の循環によって掻き回される、ウエハ上のプラズマシースの近くに同じ量のプラズマを引き出すのに殆ど仕事をする必要がないからである。従って、少ない磁界によってプラズマイオン密度の分布の同じ最適な均一性を得ることができる。プラズマ磁界の減少は、プラズマイオンによって引き起こされるデバイス損傷の量を減少する。MERIE磁界における50%の減少は、デバイスの損傷を減少するばかりでなく、本質的にそれを除去する。これによって、一般的なMERIEリアクタはデバイスを損傷する傾向があるという問題を解決する。
他の驚くべき結果は、上述したように、VHFソース電力の存在が一般的なMERIEリアクタを絶えず悩ますウエハ支持ペデスタルにおけるアークの発生を防止することである。これは、今度はMERIEリアクタにおけるプロセス制御を大きく改善する。このアークの発生は、ウエハ支持ペデスタル、及びウエハ支持ペデスタル内又はその下の金属ガス又は冷却液の供給ライン間で発生する傾向があった。
模範的な実施例において、VHFソース電力周波数は、MERIE磁石と関連するサイクロトロン共振周波数より充分上である。これによって、イオン発生からVHFソース電力をそらすMERIE磁石の磁界によるプラズマ電子の電子サイクロトロン共振の形成が防止され、それによってプラズマイオン密度に関する制御を抑制する。VHFソース電力周波数は、プラズマ周波数はオーバヘッド電極の共振周波数であるか、その近くにあるように、図1−7を参照して上述されたように選択される。
この周波数は、MERIE磁石の磁界(例えば、30ガウス)に関連するサイクロトロン共振周波数より充分上である。この電子サイクロトロン周波数は、
qB/(me2Π)
として定義される。ただし、qは電子の電荷、BはMERIE磁石アレーの磁界、そしてmeは電子の質量である。
この式は、サイクロトロン周波数が磁界に比例することを示し、これがVHFソース電力の使用によって実現される利点を示している。上で言及したように、図1-7のVHF容量性結合リアクタは、MERIEの磁界は、VHFリアクタにおいて使用されるとき、劇的に減少される従来のMERIEリアクタより非常に高いプラズマ密度を生成することができる。これは、デバイス損傷の大きな減少につながるばかりでなく、更に、VHFソース電力周波数より十分低い電子のサイクロトロン共振周波数を減少する。
一つの実施例において、MERIE磁石に関連したサイクロトロン共振周波数は、約150MHzであるように計算され、そしてオーバヘッド電極の共振周波数とのプラズマ共振周波数の整合付近に生じたVHFソース電力周波数は、約176MHzであった。他の実施例では、VHFソース電力周波数は、サイクロトロン共振周波数より更に上である200MHz以上である。
MERIE磁石を有する図1−7のVHF容量性結合プラズマの組み合わせは、以下の3つの独立して制御可能なパラメータを有するプラズマリアクタにつながる。
(1)VHFソース電力レベルによって制御されるプラズマイオン密度、
(2)ウエハ支持ペデスタルに印加されるHFバイアス電力レベルによって制御されるウエハ表面におけるイオンエネルギー、
(3)MERIE磁石の磁界によって制御されるプラズマイオン分布の均一性の程度、
このようなリアクタは優れた性能を有する。特に、このリアクタは、4000WのVHFソース電力を250MHzまでのVHF周波数に対して及び約1mT〜3000mTの範囲内のチャンバ圧力に対して約95%の効率でプラズマに結合することができる。
このようなMERIEリアクタにおいて、プロセスガスは、VHFソース電力のオーバヘッド電極を通して最もよく導入される。この目的のために、オーバヘッド電極には、オーバヘッド電極を通して小さなガス注入ノズル又はポートのアレーを設けることによって、ガス分配シャワーヘッドの機能が与えられる。プロセスガスは、同軸同調スタッブの中心導体を通してこれらの注入ポートに供給される。中心導体は、オーバヘッド電極に結合され、プロセスガスの供給は、プラズマ及び電界から完全に保護される。
特徴のあらゆる組み合わせによって上述の利点の全てを保ちつつアークの発生及び他の電位問題が避けられる。特徴の組み合わせの1つは、VHF同調スタッブから容量的に浮遊DC電位を絶縁することによってオーバヘッド電極を浮遊DC電位にすることである。これは、同軸同調スタッブとオーバヘッド電極間に誘電体膜を置くことによって達成される。この形状は、CDプラズマ電流がオーバヘッド電極を介して同調スタッブを通して戻るのを妨げ、それによって、オーバヘッド電極内のガス注入孔内のアークの発生を減少する。
アークの発生を減少する他の特徴は、プラズマとオーバヘッド電極間にコンデンサを設けることである。この目的のために、誘電体層がプラズマに面しているオーバヘッド電極の電極表面上に形成される。好ましくは、これは、電極表面、特に電極内のガス注入ポートの内部表面を陽極酸化することによって行なわれる。この特徴は、オーバヘッド電極におけるガス注入ポートに発生するプラズマアークを除去するのに役立つ。これに対する一つの理由は、陽極酸化された電極表面のキャパシタンスが、電極表面上を通過する以外にプラズマからのRF電流の電荷がストアされる電荷蓄積能力を与えることである。従って、電荷がオーバヘッド電極におけるガス入口ポートの表面からそらされる場合には、そこでのプラズマの点弧は避けられる。
オーバヘッド電極のガス注入ポート内でプラズマアースが発生するのを避けるのに加えて、オーバヘッド電極を容量的に絶縁するという特徴は電極の利用可能な寿命を延ばす。何故ならばそれはプラズマと電極間に実質的なDC電流を生じないからである。これは著しい利点である。
ガス注入ポートにおけるプラズマアークの発生の危険性を更に減少するために、他の特徴が導入される。すなわち、同軸スタッブと、電極と同軸同調スタッブ間にある容量性の層の間に金属又はセラミックの“フォーム”層が設けられる。一つの実施例において、金属のフォーム層がオーバヘッド電極と一般に同一の広がりをもっている直径を有する。この金属フォーム層は、この分野でよく知られた商業的に利用可能な形式であり、ランダムセル構造を有するアルミニウムマトリックスから成っている。金属フォーム層の利点は、それが電極(すなわち、オーバヘッド電極上の空間内)近くの電界を抑制し、それによってオーバヘッド電極におけるガス注入ポート内部でアークが発生するプラズマの傾向を減少するからである。
オーバヘッド電極にあるガス注入ポートのアレーを横切って均一なガスの分布を達成するために、金属フォーム層が入ってくるプロセスガスを妨げるためにも用いられる。好ましくは、オーバヘッド電極におけるガス注入孔又はポートは、放射状の内側グループと放射状の外側グループに分けられる。一つの金属フォーム層は、第1のガス源と外側グループのポート間でガスを妨げ、一方他の金属フォーム層は、第2のガス源と内側グループのポート間でガスを妨げる。プロセスガス流の放射状の分布は、2つのガス源のガス流の速度を独立して調整することによって調整することができる。
本願の親出願である2000年3月17日に出願された米国特許出願09/527,342に記載されているように、同軸同調スタッブとオーバヘッド電極は、ウエハ支持ペデスタルに印加されたHFバイアス電力に対して接地するように低インピーダンスのRFリターン経路を与える。しかし、同軸同調スタッブとオーバヘッド電極に挿入された新しい容量性誘電体層がオーバヘッド電極を通るHFのリターン経路を特定のHF周波数に同調するために用いられることができることを発見した。VHFソース電力周波数(オーバヘッド電極上で)の選択の1つの利点は、容量性層(オーバヘッド電極と同調スタッブ間の)が、HF周波数に対して同調されるならば、オーバヘッド電極に印加されるVHF信号に影響を及ぼさないことである。何故ならば、それはVHF周波数の広帯域にわたって電気的に短絡するからである。
最初に、RFリターン経路が付加された容量性層によって同調される狭いHF周波数のパスバンドがウエハ支持ペデスタルに印加されたHFバイアスソース電力の周波数に集められた。しかし、シースで発生される高調波の問題は、オーバヘッド電極を通るHFリターン経路をHFバイアス電力周波数の第2高調波に同調するように、この容量を選択することによって解決することができる。この選択の結果は、オーバヘッド電極の近くのプラズマシースに発生されるHFの第2高調波は、オーバヘッド電極がバルクプラズマに著しく影響を及ぼす前に、オーバヘッド電極をとおって接地されるように短絡される。一つの実施例において、エッチング速度は、この特徴によって、10%〜15%だけ改善されることがわかった。この場合、HFバイアス信号は、他の利用できるRF経路、例えばチャンバの側壁をとおって接地される。
以下に詳細に説明されるように、選択されたHF周波数での共振に対する(オーバヘッド電極と同調スタッブ間の)この付加された容量性層の容量の選択は、オーバヘッド電極における薄いプラズマシースの容量ばかりでなく、ウエハ支持ペデスタルにおける厚いプラズマシースの容量も考慮しなければならない。
本発明の高効率VHFプラズマ源は、充分高い密度のプラズマを維持することができるので、それはチャンバ内部を周期的に完全にドライクリーニングするために用いられることができる。この明細書で用いられるように、用語“ドライクリーニング”は、液体の化学剤の適用を必要としないクリーニング手続を言い、真空の囲いを空ける必要がない。この方法で、チャンバはポリマー残留物を完全にクリーニングすることができるので、ウエハの処理中チャンバ表面は充分高温時維持され、あらゆるポリマーの堆積を連続して蒸発し、その結果、チャンバは、この処理を通して少なくとも殆どポリマーの堆積がないように保たれる。
(反対に、完全にクリーニングされることがないリアクタに対して、プラズマ状態は、チャンバ壁の表面上へのポリマーの堆積が、プロセスの汚染を避けるために、除去されないで付着されつづけるように制御されなければならない。)この目的のために、オーバヘッド電極アッセンブリは、オーバヘッド電極を加熱または冷却するために液体を導く液体通路を有し、その外部表面の温度制御を可能にする。好ましくは、プラズマ状態(イオンエネルギー、壁の温度等)は、処理中にポリマーがチャンバの表面上に累積しないものである。
このような特徴の1つの利点は、光学窓をオーバヘッド電極上に又は隣接して設けることができることである。何故ならば、処理中に光学窓はポリマーの堆積がなく、きれいなままであるからである。従って、リアクタの性能を光学的に監視することができる。従って、オーバヘッド電極は、チャンバの外部にセンサーに接続するため上方に延びる光を伝送する光ファイバと共に、その中央付近に光学窓を任意に有することができる。プラズマ処理の光学的な監視は、終点の検出を行うために用いられることができる。例えば、光学的な監視は、従来の光学測定技術を用いて、プラズマエッチングプロセスにおける層厚を減少するステップまたはプラズマ支援の化学気相堆積プロセスにおける層厚を増加するステップを測定することができる。
プラズマを入れ、且つ結果的にウエハまたはワークピースに達するオーバヘッド電極の露出した表面の材料からの汚染問題を解決するために、追加の外側層がオーバヘッド電極の下部面(プラズマに面する)に導入される。この追加の外側層は、実施されている特定のプロセスに匹敵する材料から作られる。例えば、二酸化シリコンのエッチングプロセスにおいて、オーバヘッド電極上の外側層は、シリコンまたは炭化シリコンである。この外側層を任意に配置する前に、オーバヘッド電極のプラズマに面する表面は、上述されたように陽極酸化される。
本発明の他の発見は、以前には期待されなかった、プラズマが大きな抵抗性負荷インピーダンスの変化及び小さなリアクタンス性負荷インピーダンの変化を示すことである。特に、抵抗性負荷インピーダンスは、100:1(60:1の代わりに)程度の大きさだけ変り、一方、リアクタンス性負荷インピーダンは、僅か20%(35%の代わりに)だけ変化する。この相違によって、同軸同調スタッブの特性インピーダンスを65Ω(RF発生器の50Ω出力インピーダンスより上)から30Ω(RF発生器の出力インピーダンスより下)まで減少することができる。
この減少は、効率における非常に小さな妥協によって同調スペースの比例的増加を達成する。特に、同調スタッブによって整合されることができるプラズマの抵抗性インピーダンスにおける変化の範囲は、同軸スタッブの特性インピーダンスの減少により、60:1(親出願におけるように)から100:1へ増加される。同軸スタッブの特性インピーダンスは、前述の親出願に述べられているように、その内部及び外部導体の半径によって決められる。
同軸同調スタッブのフットプリントを減少するために、等価なストリップライン回路がそれに代えて置き換えられる。同軸同調スタッブの外部導体は、リアクタにキャップをする金属の蓋として接地(グランド)の平らな面になり、一方、同軸同調スタッブの中央導体はストリップラインの導体になる。ストリップラインの導体の特性インピーダンスは、ストリップラインの導体と接地導体(蓋)間の間隔を調整することによって調整される。同軸同調スタッブは真っ直ぐなラインに沿って延びるが、ストリップラインの導体は蓋の内部で円形に巻かれ、それによって、面積またはフットプリントを減少するので、同調デバイスのフットプリントは減少される。
同軸同調スタッブの特徴の全ては、ストリップライン回路においても保もたれる。従って、ストリップライン導体の長さは、上述されたように、同軸同調スタッブの長さと同じ方法で決定される。また、VHF発生器に接続される給電点またはタップのためのストリップライン導体の長さに沿った位置は、上で参照した親出願に述べられている同軸同調スタッブへのタップのそれと同じである。また、ストリップライン導体は、中空であり、上述の親出願に記載されているように、ユーティリティが同軸同調スタッブの中心導体を通して供給されるのと同じ方法で、ストリップライン導体を通してユーティリティが供給される。
MERIE磁石を有するVHF容量性結合リアクタの構造
図8及び図9を参照すると、VHF容量結合プラズマリアクタは、図1のリアクタ内にみられる以下の素子を有する:半導体ウエハ110を支持する、チャンバの底部にウエハ支持体105を有するリアクタチャンバ100。図示された実施例におけるプロセスキットは、接地されたチャンバ本体127上に誘電体リング120によって支持される半導電性または導電性リング115から成る。チャンバ100は、接地されたチャンバ本体127上にウエハ110の上方に所定のギャップ長をもって、誘電体シール130によって支持されるディスク形状のオーバヘッドアルミニウム電極125によって上部で囲まれている。
オーバヘッド電極125は、その内部表面上に半金属材料(例えば、SiまたはSiC)で覆われる金属(例えば、アルミニウム)であってもよいし、それ自体半金属材料であってもよい。RF発生器150は、RF電力を電極125へ印加する。発生器150からのRF電力は、発生器150に整合された同軸ケーブル162を通して、電極125に接続された同軸スタッブ135に結合される。このスタッブ135は、特性インピーダンス、共振周波数を有し、以下に詳細に説明される、電極125と同軸ケーブル162/RF電力発生器150を備える。
チャンバ本体は、RF発生器150のRFリターン経路(RF接地)に接続される。オーバヘッド電極125からRF接地までのRF経路は、プロセスキットの誘電体リング120と誘電体シール130の容量によって影響される。ウエハ支持体105、ウエハ110及びプロセスキットの半導電性(または導電性)リング115は、電極125へ印加されるRF電極に対して主なRFリターン路を与える。
プラズマ密度分布の均一性における改善は、ウエハ支持ペデスタルの周辺で、リアクタチャンバの外側に等しく間隔を設けてMERIE電磁石901、903、905、907の組を配置することによって達成される。これらのMERIE磁石は、ウエハ支持ペデスタルの表面をほぼ横切って円筒形チャンバの対称軸の周りにゆっくり回転する磁界を生成するようにされる。一つの実施例において、この特徴は、ウエハ支持ペデスタルの周囲に正接するそれぞれの軸の周りに巻かれた電磁石巻線を有するMERIE電磁石901、903、905、907によって実現される。
この実施例において、MERIE電流コントローラ910は、各々のMERIE磁石へのそれぞれの電流を制御する。円形磁界は、同じ周波数であるが位相が90度(またはMERIE磁石の数によって分割された360度)ずれている個々のAC電流を個々の磁石巻線の各々に与えるコントローラ910によってワークピース支持体の面に発生される。他の実施例において、回転磁界の特徴は、ロータ1025(点線)によって対称軸の周りに回転されるMERIE磁石の全てを支持する支持枠1020(点線)によって実現される。この他の実施例において、MERIE磁石は永久磁石である。
ワークピース又はウエハの支持ペデスタルの周りに、しかしMERIE磁石901、903、905、907の第1の組より高い平面に等しく間隔があけられたMERIE磁石902、904、906、908の二次アレーを同様に設けることができる。双方の磁石の組は、ワークピース支持体の面の近くにあるそれぞれの面内にある。
コントローラ910は、電磁石901-907の各々に低周波(0.5-10Hz)AC電流を印加する。隣り合う磁石に与えられる電流の位相は、上記のように90度だけずらされている。その結果として、AC電流の低周波でワークピースの支持体の対称軸の周りに回転する磁界が得られる。磁界によってプラズマがワークピースの表面近くに磁界に向かって引き付けられ、磁界と共に回転するようにする。これは、プラズマ密度分布がより均一になるようにプラズマを掻き回す。その結果、より均一なエッチング結果がウエハの全面にわたって得られるので、リアクタの性能は著しく改善される。
オーバヘッド電極を通してのプロセスガスの導入
前述したように、MERIEリアクタは、上方の天井からプロセスガスを供給することによって最良に実施される。本発明において、これは、オーバヘッド電極125を通してプロセスガスを供給するステップを必要とする。この目的のために、図8と図9の実施例におけるオーバヘッド電極125は、ガス分配シャワーヘッドであり、従って、ワークピース支持体105に面してその下部表面125aに非常に多くのガス注入ポートすなわち小さな孔を有している。模範的な実施例において、ポート300は、0.01〜0.03インチ(0.0254〜0.0762センチ)の直径で、約3/8インチだけ離れて一様に間隔があけられている。
図8に示された実施例において、円錐状金属ハウジング290の環状上部290aは、同軸スタッブの内部導体140の端部140a近くを支持し、その環状基体290bはアルミニウムのオーバヘッド電極125上に載っている。ハウジング290の円錐形状は、オーバヘッド電極125上で大きな開口プレナムを画定し、その中にいろいろなユーティリティが中空の同軸内部導体140からオーバヘッド電極125へ供給される。以下により詳細に説明されるように、円錐上のハウジング基体290bは、オーバヘッド電極125の外周近くにあり、オーバヘッド電極の上面の全てにほぼアクセス可能なままである。
この実施例において、ポート300は、直径が0.020インチの放射状の外側グループのポート及び直径が0.010インチの放射状の内側グループのポート304から成っている。ポート302の外側グループは、ウエハ周辺における均一なガス流を確かにするために、ウエハの周囲を越えて広がっている。この特徴の利点は、プロセスガス流の放射状分布が図1-7のVHF容量性結合リアクタがウエハの中央で大きく、ウエハの周辺で小さいプラズマ密度を生成するのを補償するように、このような方法で調節することができる。
オーバヘッド電極125内の放射状の外側アルミニウムフォーム層310はポート302の上にある。外側フォーム層310の上にある放射状の外側ガス分配マニフォルドまたはプレナム315は、軸のガス通路320を通して同軸同調スタッブ135の内部導体140を通るガス供給ライン325に結合されている。オーバヘッド電極125内の放射状の内部アルミニウムフォーム層330はポート304の上にある。
内側フォーム層330の上にある放射状の内側ガス分配マニフォルドまたはプレナム335は、軸のガス通路340を通して同軸同調スタッブ135の内部導体140を通るガス供給ライン345に結合される。アルミニウムフォーム層310と330は、入ってくるプロセスガスを妨ぐ。プロセスガスの流速の放射状分布は、ガス供給ライン325と345の各々の1つ内のプロセスガスの流速の独立した区分によって調節される。
ガス注入ポート内のアークの抑制
アークを減少する手段として、プラズマとオーバヘッド電極間に容量を設けるために、オーバヘッド電極の下部表面125aが誘電体層で被覆される。例えば、オーバヘッド電極125はアルミニウムであり、誘電体の被覆は、電極の下部表面125aを陽極酸化することによって形成される。このような陽極酸化は、平らな下部表面125a上ばかりでなくガス注入ポート300の内部表面上にも非常に薄い誘電体被膜を形成する。
この特徴は、オーバヘッド電極125に流れるRFプラズマ電流に対して補償することができる電荷蓄積能力を与えることによってガス注入ポートナ内のアークを押さえる傾向がある。図10は、ガス入口ポート300の1つ近くに生じる細かな構造を示す図8に相当する拡大部分図である。特に、陽極酸化によって形成される酸化アルミニウム層350が電極の下部表面125aとガス注入ポート300の内部表面を覆っている。
オーバヘッド電極近くの電界を抑圧するために、オーバヘッド電極125の上面125bは、アルミニウムフォーム355の比較的厚い(0.25インチ)層で被覆される。この厚いアルミニウム層355は、オーバヘッド電極近くの電位を軸方向(垂直方向)に一定に保つ傾向があり、それによりガス注入ポート300内でプラズマアークを発生するのに寄与する、その近傍における電界を抑圧する。
DCプラズマ電流がオーバヘッド電極を通して同軸スタッブの中心導体140に流れるのを阻止するために、オーバヘッド電極125及びオーバヘッド電極125を同軸の中心導体140に接続する導電性ハウジング290の基部290b間に薄い絶縁層360が配置される。この特徴によって、オーバヘッド電極のDC電位を浮くようにすることができる。それにより、電極125と導電性ハウジングの基部290b間にキャパシタが形成される。
このキャパシタの容量は、基部290bの面積によってばかりでなく、薄い絶縁層360の厚さ及び誘電率によっても決まる。好ましくは、このキャパシタの容量は、特定のHF周波数において狭い共振または低いインピーダンス経路を与えるが、一方全体のVHF帯にわたってRFの短絡を与えるように選択される。この方法で、オーバヘッド電極125は、ウエハ支持ペデスタル105に印加されるHFバイアス電極に対してリターン経路を提供するが、しかしVHFソース電極周波数ではオーバヘッド電極125の振舞いに影響を及ぼさない。従って、オーバヘッド電極に流れるDCプラズマ電流を阻止することによって、ガス注入ポート300内のプラズマアークは抑圧される。何故ならばこのようなDC電流はアークに寄与するからである。
概括すると、ガス注入ポート300内のプラズマアークは、以下の特徴の1つまたはそれ以上によって抑圧される。(a)オーバヘッド電極125の下面上に、及びガス注入ポート300の内部表面上に誘電体被覆350を形成すること;(b)オーバヘッド電極の上面に金属性アルミニウムフォーム層355を設けること;及び(c)オーバヘッド電極125と導電性ハウジング290間に薄い絶縁層360を配置すること。
プラズマシースに発生する高調波の抑圧
薄い絶縁層360は、ウエハ支持ペデスタル105に印加されるHFバイアス信号のプラズマシースに発生する高調波を抑圧する役割を果たす。高調波の存在は、プロセス性能を低下させ、特にエッチング速度を減少する。容量を決定する、絶縁層360の特性(すなわち、誘電率及び厚さ)を選択することによって、オーバヘッド電極125と同軸の内部導体140を通るプラズマからのリターン経路は、特定のHF周波数で共振する(従って、非常に高いアドミッタンスを有する)ように同調される。
この共振周波数のための一つの選択は、ウエハ支持ペデスタル105に印加されるHFバイアス信号の基本波であるけれども、エッチング速度は、この共振をバイアス信号の第2高調波であるように選択することによって10%〜15%改善されることは、本発明の発見である。プラズマシースによって示される非線形負荷によって発生される高調波は、容量性層360によってオーバヘッド電極と同軸の中心導体によって示される低インピーダンス経路を通して接地へ早く戻されるので、このような好ましい結果が得られる。
オーバヘッド電極125を通るリターン経路を特定のHF周波数に同調する、キャパシタ層360の厚さの選択は、オーバヘッド電極125における薄いプラズマシースの容量やウエハ支持ペデスタル105における厚いプラズマシースの容量ばかりでなく、プラズマ自体の容量を含む、多くのファクタによって影響を受ける。試行錯誤を含む、特定のプラズマ動作条件を与える選択されたHF周波数での共振を達成するために、キャパシタ層360の正確な厚さを見つけるために、当業者はいろいろな従来技術を容易に用いることができる。
電極表面の温度制御
酸化物のエッチングリアクタにおいて、ポリマー堆積物は重大な問題である。何故ならば、二酸化シリコン材料とエッチングされるべきでない他の材料間で適切なエッチングの選択性を達成するために、プロセスガスはワークピースの酸化物を含まない表面上にポリマー層を形成することができなければならない。フルオロカーボン(炭化フッ素)ガスを用いるプラズマ処理中に、単純なフッ素イオン及びラジカルがエッチングを行い、一方炭素の豊富な種がワークピース上のすべての酸素を含まない材料上ばかりでなくリアクタチャンバのすべての内部表面上にポリマーを堆積する。
チャンバの内部表面からプラズマに落下するポリマー粒子によるワークピースの汚染を避けるために、これらの表面は、充分低温に保たれなければならないし、またプラズマの電子エネルギーは、これらの堆積物が剥がれ落ちないように充分低く保たれなければならない。さもなければ、これらの堆積物を除去するために、チャンバの真空を中断して、化学的クリーニングステップが行なわれなけばならない。このステップは、リアクタの生産性を大きく低下する。
図1を参照して説明された容量性結合VHF電源は、非常に効率的であり、従って、非化学的クリーニングステップ中に、チャンバの内部表面からウエハ処理中に堆積されたあらゆるポリマー残留物を完全に除去するために充分高いプラズマ密度生成することができる。このようなクリーニングステップ中に、通常のプラズマプロセスガスは、より揮発性のガス(例えば、殆どフッ素のないプラズマを生成する傾向のあるもの)によって置き換えられることができる。
液体の化学物質はチャンバへ導入される必要がないので、クリーニングステップがポリマー堆積物のないチャンバに保つために、素早く且つしばしば行われるように、チャンバは閉めたままである。従って、図8のリアクタの動作モードは、チャンバの内部表面上にポリマーの堆積物が累積するのを避けるために、チャンバの表面温度及びプラズマイオンエネルギーが充分大きいものである。
この目的のために、図8のリアクタは、オーバヘッド電極125上に通路670(加熱導電性流体用の)を有する。図8の実現において、流体の通路670は、上部のアルミニウムフォーム層355及びオーバヘッド電極125の上部表面間に形成される。代わりに、これらの通路は、オーバヘッド電極125内に完全に内部に形成されてもよい。温度制御流体またはガスは、中空の同軸の内部導体140を通って流体供給ライン675から流体通路670へ供給される。
従って、オーバヘッド電極の温度を正確に制御することができる。電極の温度を制御することによって、及び他のプラズマプロセスパラメータ、例えばプラズマイオンエネルギーを制御することによって、リアクタは、堆積モード(表面はポリマーを累積するように充分冷たい)か、ディプレッション(消耗)モード(表面はプラズマイオンが表面からポリマーを引き離すことができるように十分熱く、それによってポリマーの累積を避ける)かのいずれかで動作することができる。ディプレッションモードは、このモードが粒子汚染を良く避けるので、効率的である。
プラズマプロセスの光学的監視
図8のリアクタは、チャンバの内部表面上にポリマーの堆積がないように動作することができるので、光学窓680をオーバヘッド電極125の下面に設けることができる。光学チャネル、例えば光ファイバーまたは光パイプ685が光学窓680に一方の端において接続され、中空の同軸の内部導体140を通過する。光パイプ685は他方の端において従来の光学検出器に接続される。
この特徴の場合、このような光学検出器を用いて、終点(エンドポイント)の検出及び他の測定を行うことができる。特に、検出器687は、よく知られた光学技術を用いて、ワークピースまたは半導体ウエハ110上の選ばれた層の厚さを測定する。例えば、エッチングプロセス中に、検出器687によって測定される、エッチングされている材料の厚さが所定の厚さに減少された後、プロセスは停止される。
汚染の防止
チャンバの内部表面は、ポリマー堆積のない状態に維持されることができるので、それらはプラズマに曝されたままである。特に、アルミニウムのオーバヘッド電極125の下面は、連続してプラズマから攻撃され易く、従って、アルミニウム種をプラズマに与えやすく、ワークピースの汚染、従ってプロセスの失敗を誘導する。このような問題を防止するために、陽極酸化されているオーバヘッド電極125の下面は、プロセスに適合している材料、例えばシリコンまたはシリコンカーバイドで被覆される。
従って、図10及び図11(A)に示されるように、薄いシリコンカーバイド(炭化珪素)の膜960がアルミニウムのオーバヘッド電極125の下面の陽極酸化された面を覆う。薄いシリコンまたは炭化珪素は、プラズマが電極125のアルミニウム材料を攻撃するのを妨げる。プラズマがシリコン含有膜690から材料を除去する限りにおいて、プラズマに導入された種がプロセスを汚染することができない。何故ならば、これらの種(シリコン及びカーボン)は、プラズマ及び/又はワークピースに既に存在しており、プロセスと適合しているからである。シリコンは、酸化シリコンがエッチングされている場合プラズマに存在している。カーボンは、フッ素−カーボンガスがプロセスエッチングガスとして用いられている場合、プラズマ中にある。
他の実施例において、オーバヘッド電極は陽極酸化されず、炭化珪素膜690が電極の純粋なアルミニウム表面上に形成される。図11(B)に示された他の実施例において、ガス注入孔300はL状(2つの直角の曲りを含む)に形成され、チャンバへのそれらの開口は、環状であり、各々の孔300の環状開口は、各々の開口の中心を塞ぐ固体ディスク300aによって画定されている。
結果
従って、本発明は、動作状態における変化及び/又は製造許容量における変動に殆ど敏感でないプラズマリアクタを提供する。動作状態に対する感度の欠如を含むこれらの大きな利点、すなわちインピーダンス整合のための広い同調または周波数スペースは、多くのリアクタの特徴の少なくとも1つまたはそれ以上の貢献であると考えられる。これらの特徴は、容量整合を有する、または最も所望される処理プラズマのイオン密度におけるプラズマの負の容量の大きさにほぼ同調するリアクタのオーバヘッド電極、プラズマ−電極共振周波数に整合またはほぼ整合するVHFソース電極周波数の使用;VHFソース電極周波数、プラズマ−電極共振周波数、及びスタッブ共振周波数の密接な関係;プラズマ−電極共振周波数、スタッブ共振周波数及びソース電極周波数を互いにずらすこと;及びオーバヘッド電極にソース電力を結合するために、好ましくは、理想の整合位置から僅かにずらされたソース電極の入力タップを有する共振するスタッブ同調の使用を含む。
プラズマ、スタッブ及びソース電力周波数をずらすことは、実際上、システムを離調することによってシステムの同調スペースを広くすると考えられる。スタッブ同調を用いることは、広い周波数範囲にわたって整合することによって同調スペースを広くする。理想の整合点からスタッブのタップ点160をずらすことは、更に、同調スペースを広くするためにシステムを最適化する。何故ならば、この特徴は、供給された電力が低下すると、電流を加え、そして供給された電力が増加すると、電流を減らすという効果を有するからである。高い(VHF)ソース電力周波数を使用することは、システムのQを減少し、またはソース電力周波数の増加に比例する同調スペースを増加する。更に重要なことは、この選択によって、電極−プラズマ共振がエッチングプロセスに好適なプラズマ密度でソース電力周波数に整合されることを可能にする。
本発明は、広いプロセスウインドウにわたってプロセス状態の変化に実質上影響されないリアクタを提供するので、本発明は、(a)プロセス状態のずれの広い範囲にわたって動作し、(b)広い範囲の適用(いろいろなプロセスレシピ)にわたって有用であり、(c)それらの性能は、製造の許容度の広い範囲にわたって実際上影響されないので、リアクタからリアクタへの特性が均一である、というリアクタの三重の利点を提供する。
結果的に、優れた結果が得られた。特に、幾つかの場合で、システムのQは約5に最小化され、同じモデルのいろいろなリアクタ間で特性及び性能の優れた均一性を得て、プロセスウインドウを向上した。1012イオン/ccのオーダーの高いプラズマ密度が僅か2kWのソース電力で一貫して達成された。システムは、10w程度の低いソース電力レベルで変化がなく、10mT〜200mTの圧力範囲にわたって、プラズマを維持した。VHFプラズマ及びソース電力周波数近くで共振する短絡されたインピーダンス整合同軸スタッブは、95%以上の電力効率を維持しながら寄生VHFプラズマシース高調波を短絡した。システムは、3:1より小さいソース電力のSWRを維持しながら、60:1のプラズマ抵抗性負荷の変化及び1.3〜0.75のリアクタンス性負荷の変化に適合した。
負荷の変化に適合するためにこの増大した能力、従って拡張されたプロセスウインドウは、(a)電極125及びその導電性支持体間の誘電体値の適切な選択ばかりでなくVHFソース電力周波数の適切な選択によって、上述されたように達成された設計動作条件のもとで電極とプラズマ容量の整合;及び(b)タップ電流が低い負荷状態の下でスタッブ電流に加えられ、高い負荷状態の下でスタッブ電流から引き算される最適なタップの位置を有する特別に構成された同軸スタッブ;に大部分よっている、と考えられる。
非常に高い電力効率は、抵抗性損失が支配する同軸スタッブにおける低い電流と高い電圧、及び容量性損失が支配する電極/プラズマにおける高い電流と低い電圧を実現するための最適なタップ位置に加えて、スタッブの共振周波数及び電極-プラズマの共振周波数間で整合を得ることにより、発生器の接続におけるばかりでなく、電極の接続における反射の損失を最小にする同軸スタッブによって与えられるインピーダンス変換に大部分よっている、と考えられる。全てこれらの利点は、従来のインピーダンス整合装置の必要性を避けまたは最小にしつつ、与えられる。
誘電体及び導体のエッチングに適合した本発明の模範的な実施例を詳細に説明したが、リアクタは、いろいろなイオン密度、いろいろなプラズマソース電力レベル、いろいろなチャンバ圧力を含む、上述されたもの以外のプラズマ動作条件の選択に対しても有利である。これらの変化は、いろいろな電極容量やいろいろな電極−プラズマ共振周波数を必要とし、いろいろなプラズマ容量を生成するであろうし、従って、上述されたものとは異なるプラズマソース電力周波数及びスタッブ共振周波数を必要とするであろう。
また、いろいろなウエハ直径及びいろいろなプラズマプロセス、例えば化学気相堆積がソース電極及びチャンバ圧力に対するいろいろな動作形態を多分有するであろう。更に、これらのいろいろな適用下において、リアクタは、一般に、上述の実施例におけるようにプロセスウインドウ及び安定性を向上するであろう。
コンパクトなVHF固定同調素子
図1及び図8の同軸同調スタッブは、図1から図7を参照して説明された大きな同調スペースにわたってインピーダンス整合を行なう同調素子である。しかし、その軸に沿った線形デザインのため、そのフットプリントは、プラズマリアクタチャンバのそれよりも実際に大きい。この特徴は不便であることがわかるそれらの状態において、図1と図8の同軸同調スタッブは、図12、図13及び図14に示されるように、等価なストリップライン回路によって置き換えられる。
VHF発生器の50Ωの同軸出力コネクタの中心導体は、ストリップライン導体700に接続され、一方VHF発生器の50Ω同軸出力コネクタの外部導体は、リアクタの上部を覆うハウジング715の金属天井710に接続される。この導電性天井710は、ストリップライン導体700が面する接地面として機能する。ストリップライン導体700は、一般に断面が楕円形で、その広い側は接地面の天井710に面している。ストリップライン導体の特性インピーダンスは、接地面の天井710からの間隔によって決められる。好適には、ストリップライン導体700は、その全体の長さに沿って接地面の天井700から一様に間隔があけられている。
模範的な実施例において、ストリップライン導体は、高さが0.125インチ(約0.318センチ)、幅が2.5インチ(6.35センチ)であり、0.5インチ(1.27センチ)だけ接地面の天井から下に配置されている。接地面の天井710に面してストリップライン導体の広い(2.5インチ)側を有することによって、電流の流れは、ストリップライン導体700の全体の2.5インチ幅を横切って分布され、それによって大部分の電流が生じる外部表面における抵抗損失を減少する。ストリップライン導体700の長さは、図1を参照して詳細に説明されたように、同軸同調スタッブ135の長さと同じ方法で決められる。
更に、ストリップライン導体700の長さに沿ったRFタップ160の配置も、図1を参照して説明されたように、同軸スタッブ135の長さに沿ったRFタップの配置と同じ方法で決められる。最後に、オーバヘッド電極125から最も遠い、図12のストリップライン導体700の端部は、接地(グラウンド)に短絡された図1の同軸スタッブの内部導体140の対応する端部と同様である。ストリップライン導体700の場合、接地への短絡は、図13に示されるように、遠い端部700aにおいて接地面の天井710への接続によって達成される。
図1〜図8の同軸同調スタッブ135と同様に、ストリップライン導体700は、固定された同調素子の共振周波数の1/4波長に等しい長さを有しており、この場合、ストリップライン回路は、ストリップライン導体700と接地面の天井を有している。従って、ストリップライン導体700の長さの選択は、図1〜図7を参照して説明された同軸同調スタッブ135の長さの選択と全く同じである。一つの実施例において、この長さは、約29インチ(73.66cm)であった。
図12のRFタップ160は、図1のRFタップ160が同軸同調スタッブ135の長さに沿って対応した接続を行うように、ストリップライン導体700の長さに沿った特定の点においてVHF発生器をストリップライン回路に接続する。図12の場合、VHF発生器の出力同軸コネクタは、タップ160においてストリップライン導体に接続され、一方VHF発生器の出力同軸導体の外部導体は、ストリップライン導体へのタップの接続の上にある点において接地面の天井に接続される。
ストリップライン導体700の長さに沿った図12のタップ点の位置は、図1を参照して詳細に説明された同軸スタッブの長さに沿った図1のタップの位置と同じ方法で決められる。この特徴について、ストリップライン導体700と接地面の天井を有するストリップライン回路は、イッピーダンス整合スペースが理論的最適値からタップ点160を僅かにずらすことによって負荷抵抗の100:1の変化と同じだけ調整することができる、図1の同軸同調スタッブ135と同じ方法で行なう。
図1を参照して説明したように、タップ160の理論的な最適位置は、定在波電圧と電流間の比がVHF発生器の出力インピーダンスまたはそれらの間に接続された同軸ケーブルの特性インピーダンスに等しい、同調スタッブ135の長さに沿った(または、等価的に、図12のストリップライン導体の長さに沿った)点である。図1を参照して説明された発見は、インピーダンス整合スペースが理論的な最適位置から約5%だけタップ160をずらすことによって驚くほど広げられることである。従って、図12のストリップライン導体回路は、図1の同軸同調スタッブの全ての利点及び機能を提供し、更にコンパクトであるという利点を有する。
図8の同軸スタッブの内部導体140と同様に、図12のストリップライン導体700は、電極125に接続されるユーティリティラインを収納するための中空であり、円錐形ハウジング290の上部面290aに接続される。ストリップライン導体700(図1と図8の同軸同調スタッブ上)の利点は、ストリップライン導体700が、その必要な長さがリアクタチャンバの“フットプリント”を越えて広がらないで実現されるように、ハウジング内に円形状に広がっていることである。
ストリップラインの長さは、同軸同調スタッブの長さが図1を参照して説明されたような決め方と同じ方法で決められる。ストリップライン導体700のインピーダンスは、セット面天井710からのその変位を調整することによって決められる。上述したように、このインピーダンスは、約30オーム、すなわちVHF発生器の出力インピーダンスより小さいように選択されるのが最良である。ストリップライン導体の長さに沿ってVHF発生器150からのタップ160の位置は、図1を参照して説明されたように、同軸同調スタッブ上のRFタップ160の位置と同じ方法で求められる。接地面の天井710と組み合わされたストリップライン導体700は、図1と図8の同軸同調スタッブと同じ機能を有し、図1を参照して説明されたと同じ性能の利点を提供する。
図13の上面図は、ストリップライン導体700が殆ど正方形の経路(丸くされたコーナを有する)に沿って巻かれている実施例を示しているのに対し、図14は、ストリップ導体700が円形に巻かれている他の実施例を示す。
同調素子を通して給電されるユーティリティ
図8と図12に関して説明されたように、図8の同軸スタッブの内部導体140と図12のストリップライン導体700は、いろいろなユーティリティをオーバヘッド電極へ運ぶラインを収容するために、双方とも中空である。従って、図8と図12に示されるように、外部のガス供給ライン325は、外部のガス流コントローラ800に接続され、内部のガス供給ライン345は、内部のガス流コントローラ810に接続され、光ファイバー、すなわち光パイプ685は、光学検出器687に接続され、そして加熱/冷却ライン675は、加熱/冷却源コントローラ830に接続される。
固定同調素子135は、同軸同調スタッブ(図1と図8の実施例におけるように)またはストリップライン回路(図12と図14の実施例におけるように)の何れかである。アンテナの設計者は、RF発生器の特性出力インピーダンスと電極/プラズマの組み合わせのインピーダンス間にインピーダンス整合を与える点で、固定同調素子の両実施例によって達成される等価な機能を理解するであろう。固定同調素子の両実施例(または等価的に固定インピーダンス整合素子)は、中心導体(図12におけるストリップライン導体、または図8における内部同軸導体のいずれか)及び接地された導体(図12の接地面の天井または図8の接地された外部同軸導体)の使用を含んで、共通に構造的特徴を共有する。
両方の場合、インピーダンス整合素子の特性インピーダンスは、2つの導体間の間隔によって決められ、一方インピーダンス整合素子への入力インピーダンスは、RF発生器への接続の中心導体に沿った位置によって決められる。また、中心導体は、中空であり、従って、ガス供給ライン及び加熱導電性流体の供給ラインのためのRFシールド導管(コンジット)として働く。最も重要な共通の特徴は、インピーダンス整合素子の両実施例は構造上物理的に固定され、従って、移動するパーツのいらない、インテリジェントなコントローラであり、これは大きな利点である。他の関連した利点は、既に説明したとおりである。従って、両実施例の固定インピーダンス整合素子は、一般に中空の中心導体を有する固定された2つの導体インピーダンス整合素子と呼ばれる。
本発明は、いろいろな実施例を参照して詳細に説明されたけれども、本発明の真の精神及び範囲から逸脱することなくそれらの変化及び変更を行なうことができることが理解されるであろう。
本発明の実施例によるプラズマリアクタの断面図を示す。 図1の同軸スタッブを示す。 同軸スタッブに沿った位置の関数として電圧及び電流定在波の振幅を示す。 より一定の分配VHF電力レベルを大きな整合スペースに維持するために、高いプラズマ負荷インピーダンスに応答して生じる同軸スタッブ上の入力電力タップ点における電流の減少を示す。 より一定の分配VHF電力レベルを大きな整合スペースに維持するために、低いプラズマ負荷インピーダンスに応答して生じる同軸スタッブ上の入力電力タップ点における電流の追加を示す。 図1の実施例の周波数の関数として低いQの反射係数を示すグラフである。 スタッブの長さに沿った定在波電流及び電圧を有する同軸スタッブ上の入力電力タップ点における電流分布の相互作用を示すグラフである。 図1の同軸スタッブの他の実施例を示す。 本発明の他の実施例を示す。 図8に相当する部分拡大図である。 図9の部分拡大図である。 図8の他の部分拡大図である。 図11(A)に相当する他の実施例を示す。 本発明の更に他の実施例を示す。 図12に相当する上面図である 図13のリアクタの他の実施例に相当する上面図である。

Claims (20)

  1. 半導体ワークピースを処理するためのプラズマリアクタであって、
    チャンバ壁を有し、前記半導体ワークピースを支持するためのワークピース支持体を含むリアクタチャンバと、
    前記ワークピース支持体状にあるチャンバ壁の一部を有するオーバヘッド電極と、
    VHF周波数で電力を前記オーバヘッド電極に供給するためのRF電力発生器と;前記オーバヘッド電極は、前記発生器のVHF周波数またはその近くにあるVHF電極−プラズマ共振周波数でプラズマと共に共振するリアクタンスを有しており、
    前記発生器と前記オーバヘッド電極間に接続された固定インピーダンス整合素子と;前記固定インピーダンス整合素子は、前記電極−プラズマ共振周波数に少なくとも近いか、または等しいVHF整合素子共振周波数を有しており、
    前記ワークピースの上面を横切って回転する磁界を生成するためのMERIE磁界発生器と、
    を有することを特徴とするプラズマリアクタ。
  2. 前記磁界は、前記磁界と関連する電子サイクロトロン周波数が前記RF電力発生器の前記VHF周波数より小さいように、大きさが充分小さいことを特徴とする請求項1に記載のプラズマリアクタ。
  3. 前記電子サイクロトロン周波数は、前記VHF周波数より少なくとも5%少ないことを特徴とする請求項2に記載のプラズマリアクタ。
  4. 更に、前記ワークピース支持体に面する前記オーバヘッド電極の表面上に形成されたオーバヘッド絶縁層を有することを特徴とする請求項1に記載のプラズマリアクタ。
  5. 更に、前記RF電力発生器と前記オーバヘッド電極間に容量性絶縁層を有することを特徴とする請求項4に記載のプラズマリアクタ。
  6. 更に、前記ワークピース支持体から離れて面する前記オーバヘッド電極の表面上に接触して金属フォーム層を有することを特徴とする請求項5に記載のプラズマリアクタ。
  7. 更に、前記オーバヘッド絶縁層を覆うシリコン含有被膜を有することを特徴とする請求項4に記載のプラズマリアクタ。
  8. 前記シリコン含有被膜は、シリコンまたは炭化シリコンの1つを有することを特徴とする請求項7に記載のプラズマリアクタ。
  9. 前記オーバヘッド電極は、複数のガス注入オリフィスを有し、前記オーバヘッド絶縁層は、前記ガス注入オリフィス内でアークを抑制するのに充分な容量を与えることを特徴とする請求項4に記載のプラズマリアクタ。
  10. 前記容量性絶縁層は、前記チャンバ内のプラズマからのDC電流が前記オーバヘッド電極を通して流れるのを阻止するのに充分な容量を有することを特徴とする請求項5に記載のプラズマリアクタ。
  11. 前記電極は、前記ワークピース支持体にほぼ面する複数のガス注入オリフィスを有し、
    前記金属フォーム層は、前記ガス注入オリフィス内の軸電界を抑制するのに充分な厚さであることを特徴とする請求項6に記載のプラズマリアクタ。
  12. 前記オーバヘッド電極はアルミニウムを有し、前記オーバヘッド絶縁層は陽極酸化によって形成されていることを特徴とする請求項4に記載のプラズマリアクタ。
  13. 前記容量性絶縁層は、プラズマシース生成高調波に対して前記オーバヘッド電極を通して接地するために定インピーダンス路を与える容量形成することを特徴とする請求項10のプラズマリアクタ。
  14. 更に、前記オーバヘッド電極にガス供給入口と、
    前記ガス入口と前記ガス注入オリフィスの少なくとも第1の組間に前記オーバヘッド電極内にガスバッファ層と、
    を有することを特徴とする請求項11に記載のプラズマリアクタ。
  15. 前記ガスバッファ層は、金属フォームの層を有することを特徴とする請求項14に記載のプラズマリアクタ。
  16. 更に、前記オーバヘッド電極内に熱を制御する流体路を有することを特徴とする請求項15に記載のプラズマリアクタ。
  17. 更に、前記ウエハ支持体にほぼ面する前記オーバヘッド電極に光学窓、及び前記光学窓に結合し、前記オーバヘッド電極を通して延びる光搬送媒体とを有することを特徴とする請求項16に記載のプラズマリアクタ。
  18. 前記RF電力発生器の周波数及び前記整合素子の共振周波数は、互いにそれぞれずらされており、且つ電極−プラズマ共振周波数からそれぞれずらされていることを特徴とする請求項1に記載のプラズマリアクタ。
  19. 前記固定インピーダンス整合素子は、同軸同調スタッブを有することを特徴とする請求項1に記載のプラズマリアクタ。
  20. 前記固定インピーダンス整合素子は、ストリップライン回路を有することを特徴とする請求項1に記載のプラズマリアクタ。
JP2003539072A 2001-10-22 2002-09-24 半導体ワークピースを処理するためのプラズマリアクタ Pending JP2006502556A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/007,367 US6894245B2 (en) 2000-03-17 2001-10-22 Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
PCT/US2002/030399 WO2003036680A1 (en) 2001-10-22 2002-09-24 Merie plasma reactor with showerhead rf electrode tuned to the plasma with arcing suppression

Publications (1)

Publication Number Publication Date
JP2006502556A true JP2006502556A (ja) 2006-01-19

Family

ID=21725751

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003539072A Pending JP2006502556A (ja) 2001-10-22 2002-09-24 半導体ワークピースを処理するためのプラズマリアクタ

Country Status (7)

Country Link
US (3) US6894245B2 (ja)
EP (1) EP1440456A1 (ja)
JP (1) JP2006502556A (ja)
KR (1) KR100557273B1 (ja)
CN (1) CN1314072C (ja)
TW (1) TW589680B (ja)
WO (1) WO2003036680A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008124460A (ja) * 2006-10-31 2008-05-29 Applied Materials Inc レジスト除去及びレジスト下のフィーチャのファセット制御のためのプラズマ
JP2013118398A (ja) * 2013-02-18 2013-06-13 Tokyo Electron Ltd プラズマ処理装置
JP2014022517A (ja) * 2012-07-17 2014-02-03 Tokyo Electron Ltd 上部電極、及びプラズマ処理装置
JP2015506055A (ja) * 2011-11-23 2015-02-26 ラム リサーチ コーポレーションLam Research Corporation 対称的なrf供給のための周囲rfフィードおよび対称rfリターン
JP2016535410A (ja) * 2013-08-16 2016-11-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温低圧環境用の細長い容量結合プラズマ源
US9887068B2 (en) 2007-03-29 2018-02-06 Tokyo Electron Limited Plasma process apparatus

Families Citing this family (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US20050103441A1 (en) * 2001-11-14 2005-05-19 Masanobu Honda Etching method and plasma etching apparatus
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP4370789B2 (ja) * 2002-07-12 2009-11-25 東京エレクトロン株式会社 プラズマ処理装置及び可変インピーダンス手段の校正方法
JP4482308B2 (ja) * 2002-11-26 2010-06-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4584565B2 (ja) * 2002-11-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
KR100526928B1 (ko) * 2003-07-16 2005-11-09 삼성전자주식회사 식각장치
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US20080223409A1 (en) * 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
KR101160642B1 (ko) * 2003-12-12 2012-06-28 세미이큅, 인코포레이티드 고체로부터 승화된 증기의 유동제어
JP2005177935A (ja) * 2003-12-19 2005-07-07 Tdk Corp ナノホール穿孔装置及びナノホール穿孔方法
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US8253057B1 (en) 2004-09-03 2012-08-28 Jack Hunt System and method for plasma generation
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
JP4519695B2 (ja) * 2005-03-29 2010-08-04 三菱重工業株式会社 薄膜製造装置及び薄膜製造方法
US8298336B2 (en) 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US7305311B2 (en) * 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
JP2006303309A (ja) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp プラズマ処理装置
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20070077763A1 (en) * 2005-09-30 2007-04-05 Molecular Imprints, Inc. Deposition technique to planarize a multi-layer structure
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
JP4621621B2 (ja) * 2006-03-31 2011-01-26 株式会社東芝 荷電ビーム描画装置
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
KR100799175B1 (ko) * 2006-04-21 2008-02-01 주식회사 뉴파워 프라즈마 플라즈마 프로세싱 시스템 및 그 제어 방법
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
JP4828316B2 (ja) * 2006-06-13 2011-11-30 三菱電機株式会社 レーザ加工機用のギャップ検出装置及びレーザ加工システム並びにレーザ加工機用のギャップ検出方法
US8217299B2 (en) * 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
JP2008235464A (ja) * 2007-03-19 2008-10-02 Toshiba Corp 電子ビーム描画装置
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
WO2009023135A1 (en) * 2007-08-15 2009-02-19 Applied Materials, Inc. Apparatus for wafer level arc detection at an rf bias impedance match to the pedestal electrode
US7733095B2 (en) * 2007-08-15 2010-06-08 Applied Materials, Inc. Apparatus for wafer level arc detection at an RF bias impedance match to the pedestal electrode
US7750644B2 (en) * 2007-08-15 2010-07-06 Applied Materials, Inc. System with multi-location arc threshold comparators and communication channels for carrying arc detection flags and threshold updating
US7737702B2 (en) * 2007-08-15 2010-06-15 Applied Materials, Inc. Apparatus for wafer level arc detection at an electrostatic chuck electrode
US7768269B2 (en) * 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
US7750645B2 (en) * 2007-08-15 2010-07-06 Applied Materials, Inc. Method of wafer level transient sensing, threshold comparison and arc flag generation/deactivation
US7777599B2 (en) * 2007-11-02 2010-08-17 Applied Materials, Inc. Methods and apparatus for controlling characteristics of a plasma
US8876024B2 (en) 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US8395078B2 (en) 2008-12-05 2013-03-12 Advanced Energy Industries, Inc Arc recovery with over-voltage protection for plasma-chamber power supplies
EP2219205B1 (en) 2009-02-17 2014-06-04 Solvix GmbH A power supply device for plasma processing
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
US8936703B2 (en) * 2009-08-31 2015-01-20 Semicat, Inc. Methods to fabricate non-metal films on semiconductor substrates using physical vapor deposition
US8956516B2 (en) * 2009-08-31 2015-02-17 Semicat, Inc. System and apparatus to facilitate physical vapor deposition to modify non-metal films on semiconductor substrates
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US9850576B2 (en) * 2010-02-15 2017-12-26 Applied Materials, Inc. Anti-arc zero field plate
US8552665B2 (en) 2010-08-20 2013-10-08 Advanced Energy Industries, Inc. Proactive arc management of a plasma load
TWI654762B (zh) 2011-05-05 2019-03-21 日商半導體能源研究所股份有限公司 半導體裝置及其製造方法
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
KR102011535B1 (ko) 2011-11-24 2019-08-16 램 리써치 코포레이션 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버
US20130292057A1 (en) * 2012-04-26 2013-11-07 Applied Materials, Inc. Capacitively coupled plasma source with rf coupled grounded electrode
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
KR102298032B1 (ko) * 2013-09-30 2021-09-02 어플라이드 머티어리얼스, 인코포레이티드 고 주파수 무선 주파수에 대한 전극 임피던스를 튜닝하고 저 주파수 무선 주파수를 접지로 종단하기 위한 장치 및 방법
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10510625B2 (en) * 2015-11-17 2019-12-17 Lam Research Corporation Systems and methods for controlling plasma instability in semiconductor fabrication
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
JP6950196B2 (ja) * 2017-02-16 2021-10-13 三菱マテリアル株式会社 プラズマ処理装置用電極板およびプラズマ処理装置用電極板の再生方法
US11469130B2 (en) * 2017-06-16 2022-10-11 Jusung Engineering Co., Ltd. Substrate processing apparatus and rotating electrical connector for vacuum
CN107920411B (zh) * 2017-11-13 2023-09-19 四川大学 一种用于硅基材料加工的混合式等离子体发生器
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
KR101886755B1 (ko) * 2017-11-17 2018-08-09 한국원자력연구원 다중 펄스 플라즈마를 이용한 음이온 공급의 연속화 시스템 및 방법
EP3711081B1 (en) 2017-11-17 2024-06-19 AES Global Holdings, Pte. Ltd. Spatial and temporal control of ion bias voltage for plasma processing
PL3711080T3 (pl) 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
WO2020112108A1 (en) 2017-11-29 2020-06-04 COMET Technologies USA, Inc. Retuning for impedance matching network control
CN109994355B (zh) 2017-12-29 2021-11-02 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
KR102151810B1 (ko) * 2018-10-01 2020-09-04 세메스 주식회사 기판 처리 장치
JP7134863B2 (ja) * 2018-12-27 2022-09-12 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20220238312A1 (en) * 2019-05-29 2022-07-28 Lam Research Corporation Showerhead insert for uniformity tuning
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
WO2021041984A1 (en) 2019-08-28 2021-03-04 COMET Technologies USA, Inc. High power low frequency coils
EP4046283A1 (en) * 2019-10-18 2022-08-24 Nokia Technologies Oy Massive mimo antenna array
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US12027351B2 (en) 2020-01-10 2024-07-02 COMET Technologies USA, Inc. Plasma non-uniformity detection
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply
US20240170256A1 (en) * 2022-11-22 2024-05-23 Tokyo Electron Limited VHF Broadband Coaxial Adapter

Family Cites Families (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2967926A (en) 1958-03-10 1961-01-10 Union Carbide Corp Testing process and apparatus
US2951960A (en) 1959-03-24 1960-09-06 Tung Sol Electric Inc Gaseous discharge device
FR1402020A (fr) 1964-04-27 1965-06-11 Csf Perfectionnements aux sources d'ions
US3555615A (en) * 1968-08-08 1971-01-19 Usm Corp Rotational moulding machines
US3610986A (en) 1970-05-01 1971-10-05 Union Carbide Corp Electron beam source including a pilot nonthermionic, electron source
US4570106A (en) 1982-02-18 1986-02-11 Elscint, Inc. Plasma electron source for cold-cathode discharge device or the like
US4458180A (en) 1982-02-18 1984-07-03 Elscint Ltd. Plasma electron source for cold-cathode discharge device or the like
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US5120466A (en) * 1984-07-13 1992-06-09 Canon Kabushiki Kaisha Fluid crystal device
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
US5006760A (en) * 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
DE3708716C2 (de) * 1987-03-18 1993-11-04 Hans Prof Dr Rer Nat Oechsner Hochfrequenz-ionenquelle
US4973883A (en) * 1987-05-01 1990-11-27 Semiconductor Energy Laborator Co., Ltd. Plasma processing apparatus with a lisitano coil
US4888518A (en) 1987-11-16 1989-12-19 Itt Corporation Gas circulation apparatus for ceramic electron tubes
DE68926923T2 (de) 1988-03-16 1996-12-19 Hitachi Ltd Mikrowellenionenquelle
US5115167A (en) * 1988-04-05 1992-05-19 Mitsubishi Denki Kabushiki Kaisha Plasma processor
DE68912400T2 (de) 1988-05-23 1994-08-18 Nippon Telegraph & Telephone Plasmaätzvorrichtung.
US5055853A (en) * 1988-10-03 1991-10-08 Garnier Robert C Magnetic frill generator
US5107170A (en) * 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US5089083A (en) * 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5225024A (en) * 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
DE3923661A1 (de) * 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung fuer die anpassung der impedanz einer plasmastrecke an einen hochfrequenzgenerator
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5312778A (en) * 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
US5223457A (en) * 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
US5032202A (en) * 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5210466A (en) * 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
JPH04901A (ja) * 1990-04-18 1992-01-06 Mitsubishi Electric Corp プラズマ装置の高周波給電方法及び装置
EP0463408A3 (en) 1990-06-22 1992-07-08 Hauzer Techno Coating Europe Bv Plasma accelerator with closed electron drift
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5274306A (en) * 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5376211A (en) * 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
FR2667980A1 (fr) 1990-10-12 1992-04-17 Sodern Source d'electrons presentant un dispositif de retention de matieres.
US5246532A (en) * 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
JP2501948B2 (ja) * 1990-10-26 1996-05-29 三菱電機株式会社 プラズマ処理方法及びプラズマ処理装置
US5195045A (en) * 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
ATE127615T1 (de) * 1991-05-21 1995-09-15 Materials Research Corp Sanftaetz-einheit fuer modulare bearbeitungsanlagen und ecr-plasmaerzeuger fuer eine solche einheit.
US5432315A (en) 1991-05-31 1995-07-11 Hitachi, Ltd. Plasma process apparatus including ground electrode with protection film
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5198725A (en) * 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
KR0184675B1 (ko) 1991-07-24 1999-04-15 이노우에 쥰이치 챔버내의 전극에 있어서의 실제의 rf파워를 검출 및 제어 가능한 플라즈마 처리장치
US5849136A (en) * 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5175472A (en) * 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
US5273610A (en) * 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5325019A (en) * 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
JP2972477B2 (ja) * 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
US5537004A (en) * 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
TW249313B (ja) * 1993-03-06 1995-06-11 Tokyo Electron Co
JP3236111B2 (ja) * 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
CA2126731A1 (en) * 1993-07-12 1995-01-13 Frank Jansen Hollow cathode array and method of cleaning sheet stock therewith
US5849372A (en) * 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
WO1995008182A1 (en) 1993-09-17 1995-03-23 Isis Innovation Limited Rf plasma reactor
US5467013A (en) * 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5463525A (en) * 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
JP3279038B2 (ja) * 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3365067B2 (ja) * 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
CN1052116C (zh) * 1994-06-15 2000-05-03 精工爱普生株式会社 薄膜半导体器件的制造方法
US5587038A (en) * 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
AU2003195A (en) * 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
IT1269413B (it) * 1994-10-21 1997-04-01 Proel Tecnologie Spa Sorgente di plasma a radiofrequenza
US5576629A (en) * 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
JP2956494B2 (ja) * 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
JPH08225769A (ja) * 1994-11-24 1996-09-03 Mazda Motor Corp ポリオレフィン系樹脂成形品用の塗料用樹脂組成物、それを用いた塗料組成物及びその塗装方法
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5576600A (en) 1994-12-23 1996-11-19 Dynatenn, Inc. Broad high current ion source
US5792376A (en) * 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
JP3778299B2 (ja) * 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5674321A (en) * 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP3169337B2 (ja) * 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6089182A (en) 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
KR100226366B1 (ko) * 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
JPH09106898A (ja) * 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US5965034A (en) 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5942074A (en) 1996-03-29 1999-08-24 Lam Research Corporation Single-piece gas director for plasma reactors
JP3238082B2 (ja) * 1996-05-16 2001-12-10 シャープ株式会社 電子デバイス製造装置
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5770922A (en) * 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
US5866986A (en) 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
JPH10134996A (ja) * 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6152071A (en) * 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
JP3650248B2 (ja) * 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
JP3582287B2 (ja) * 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6155200A (en) * 1997-07-08 2000-12-05 Tokyo Electron Limited ECR plasma generator and an ECR system using the generator
US6110395A (en) * 1997-08-26 2000-08-29 Trikon Technologies, Inc. Method and structure for controlling plasma uniformity
JP2929284B2 (ja) * 1997-09-10 1999-08-03 株式会社アドテック 高周波プラズマ処理装置のためのインピーダンス整合及び電力制御システム
US6291999B1 (en) * 1997-09-30 2001-09-18 Daihen Corp. Plasma monitoring apparatus
US5971591A (en) * 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6251216B1 (en) * 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
US5929717A (en) * 1998-01-09 1999-07-27 Lam Research Corporation Method of and apparatus for minimizing plasma instability in an RF processor
US6449568B1 (en) * 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
US6106663A (en) 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
JP2000114189A (ja) * 1998-10-06 2000-04-21 Toshiba Corp 真空処理装置
JP3818561B2 (ja) * 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
US6213050B1 (en) * 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6188564B1 (en) * 1999-03-31 2001-02-13 Lam Research Corporation Method and apparatus for compensating non-uniform wafer processing in plasma processing chamber
JP3374796B2 (ja) * 1999-08-06 2003-02-10 松下電器産業株式会社 プラズマ処理方法及び装置
US6262538B1 (en) * 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6528751B1 (en) * 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6462481B1 (en) * 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
JP3565774B2 (ja) * 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008124460A (ja) * 2006-10-31 2008-05-29 Applied Materials Inc レジスト除去及びレジスト下のフィーチャのファセット制御のためのプラズマ
US9887068B2 (en) 2007-03-29 2018-02-06 Tokyo Electron Limited Plasma process apparatus
US10734197B2 (en) 2007-03-29 2020-08-04 Tokyo Electron Limited Plasma process apparatus
JP2015506055A (ja) * 2011-11-23 2015-02-26 ラム リサーチ コーポレーションLam Research Corporation 対称的なrf供給のための周囲rfフィードおよび対称rfリターン
JP2014022517A (ja) * 2012-07-17 2014-02-03 Tokyo Electron Ltd 上部電極、及びプラズマ処理装置
JP2013118398A (ja) * 2013-02-18 2013-06-13 Tokyo Electron Ltd プラズマ処理装置
JP2016535410A (ja) * 2013-08-16 2016-11-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温低圧環境用の細長い容量結合プラズマ源

Also Published As

Publication number Publication date
US20040211759A1 (en) 2004-10-28
CN1599946A (zh) 2005-03-23
WO2003036680A1 (en) 2003-05-01
US6894245B2 (en) 2005-05-17
US7132618B2 (en) 2006-11-07
CN1314072C (zh) 2007-05-02
KR20040045913A (ko) 2004-06-02
KR100557273B1 (ko) 2006-03-07
EP1440456A1 (en) 2004-07-28
US20050236377A1 (en) 2005-10-27
US7186943B2 (en) 2007-03-06
TW589680B (en) 2004-06-01
US20030136766A1 (en) 2003-07-24

Similar Documents

Publication Publication Date Title
JP4902941B2 (ja) 半導体ワークピースを処理するためのプラズマリアクタ
JP2006502556A (ja) 半導体ワークピースを処理するためのプラズマリアクタ
KR100797926B1 (ko) 플라즈마에 동조된 오버헤드 rf 전극을 가진 플라즈마리액터
US7494561B2 (en) Plasma processing apparatus and method, and electrode plate for plasma processing apparatus
US7506610B2 (en) Plasma processing apparatus and method
US8512510B2 (en) Plasma processing method and apparatus
US7220937B2 (en) Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7196283B2 (en) Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
KR20170134268A (ko) 높은 종횡비 피쳐들을 에칭하기 위한 다중 주파수 전력 변조
JPH11317299A (ja) 高周波放電方法及びその装置並びに高周波処理装置
WO2006074050A2 (en) Electrically enhancing the confinement of plasma
JPH06112166A (ja) 電磁rf結合を用いたプラズマ反応装置及びその方法
EP0469597B1 (en) Plasma processing reactor
CN114171364B (zh) 半导体工艺设备

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060522

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20061120