KR0184675B1 - 챔버내의 전극에 있어서의 실제의 rf파워를 검출 및 제어 가능한 플라즈마 처리장치 - Google Patents

챔버내의 전극에 있어서의 실제의 rf파워를 검출 및 제어 가능한 플라즈마 처리장치 Download PDF

Info

Publication number
KR0184675B1
KR0184675B1 KR1019920013313A KR920013313A KR0184675B1 KR 0184675 B1 KR0184675 B1 KR 0184675B1 KR 1019920013313 A KR1019920013313 A KR 1019920013313A KR 920013313 A KR920013313 A KR 920013313A KR 0184675 B1 KR0184675 B1 KR 0184675B1
Authority
KR
South Korea
Prior art keywords
high frequency
frequency power
power
electrode
processing chamber
Prior art date
Application number
KR1019920013313A
Other languages
English (en)
Other versions
KR930003272A (ko
Inventor
가즈히코 스기야마
마사시 시미즈
유키오 나이도오
에이이치 니시무라
오이치 오시마고
Original Assignee
이노우에 쥰이치
도오교오에레구토론야마나시 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 이노우에 쥰이치, 도오교오에레구토론야마나시 가부시끼가이샤 filed Critical 이노우에 쥰이치
Publication of KR930003272A publication Critical patent/KR930003272A/ko
Application granted granted Critical
Publication of KR0184675B1 publication Critical patent/KR0184675B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Abstract

플라즈마처리부는 처리챔버와 상기 처리챔버내에 대향설치되는 1쌍의 전극을 가진다. 고주파전원은 상기 처리챔버내의 상기 1쌍의 전극중의 적어도 한편의 전극에 인가하는 고주파전력을 출력한다. 전력검출부는 상기 처리챔버내의 상기 한편의 전극에 인가되는 상기 고주파전력의 실제의 값을 검출한다. 제어부는 상기 전력검출부에 의하여 검출되는 상기 고주파전력의 실제의 값에 따라서 상기 고주파전원으로부터 출력되는 상기 고주파전력을 소정의 값으로 제어한다.

Description

챔버내의 전극에 있어서의 실제의 RF 파워를 검출 및 제어가능한 플라즈마 처리장치
도 1는 본 발명에 의한 플라즈마 처리장치의 제 1 실시예를 나타내는 구성설명도,
도 2는 도 1의 장치에 의한 피드백 제어형태를 설명하기 위한 블록도,
도 3는 본 발명에 의한 플라즈마 처리장치의 제 2 실시예를 나타내는 구성설명도,
도 4는 도 3의 전력검출부 및 연산부의 구체예를 나타내는 도면,
도 5는 본 발명에 의한 플라즈마 처리장치의 제 3 실시예를 나타내는 구성설명도,
도 6는 종래의 플라즈마 처리장치의 결점을 설명하기 위한 블록도
* 도면의 주요부분에 대한 부호의 설명
1, 40 : 에칭장치 2, 64 : 챔버
3 : 상부전극 4 : 하부전극
5 : 반도체 웨이퍼 6, 8, 45, 62, 101 : 임피던스 정합기
7, 9, 41, 60, 100 : 고주파전원 10 : 위상 제어장치
11,12 : 고주파 케이블 13, 14, 102 : 전력검출부
15 : 표시장치 16, 17 : 연산부
18, 103 : 콘트롤러 30 : 전류검출부
31 : 전압검출부 32 : 승산부
33 : 실효치 연산부 42 : 트랜스
43 : 온도센서 44 : 온도 검출회로
61, 104 : 제 1의 케이블 63, 105 : 제 2의 케이블
106 : 표시부 3a : 작은구멍
ST : 공급관 DT : 배기관
CE1, CE2 : 카세트 엘리베이터 LL1 : 반입용 로드록 기구
LL2 : 배출용 로드록 기구 T1 : 변류기
T2 : 변압기 R1, R2, R3 : 저항
본 발명은 플라즈마 처리장치에 관한 것으로서, 특히 처리챔버내의 전극에 인가되는 실제의 고주파(RF) 전력을 검출하여 소정치로 제어가능한 예컨대 플라즈마 에칭장치와 같은 플라즈마 처리장치에 관한 것이다.
종래부터, 반도체 디바이스의 제조공정에 있어서, 반도체 디바이스의 미세한 회로패턴의 형성등에, 소위 드라이에칭에 의하여 에칭처리를 하는 플라즈마 에칭처리장치가 이용되고 있다, 이와 같은 플라즈마 에칭장치에서는, 예컨대 처리챔버내에, 상하로 대향하듯이 상부전극과 하부전극이 마련되어 있고 처리챔버내에 소정의 에칭가스를 도입함과 동시에, 상부전극과 하부전극과의 사이에 고주파 전원으로 부터 고주파전력을 공급함으로써 에칭가스를활성화(플라즈마화)하고, 예컨대 하부전극상에 재치한 반도체 웨이퍼에 작용시켜서 에칭처리를 실시한다.
그런데, 이 종류의 플라즈마 처리장치에서는 처리챔바내의 전극에 인가하는 고주파전력의 값은 처리챔버내에 발생하는 플라즈마의 안정화에 중요한 요소로 되어 있다.
예컨대 미국특허 제 4871421호에서는, 고주파 전원과, 상부전극 및 하부전극과의 사이에, 2 차측 코일의 센터탭을 접지한 트랜스를 설치하고, 고주파전원으로 부터의 고주파전력을 위상이 180도 다른 50:50의 전력을 분배하고,상부전극과 하부전극에 공급하도록 구성한 플라즈마 에칭장치가 개시되어 있다. 이와 같은 플라즈마 에칭장치에서는, 상부전극과 하부전극과의 전위차를, 이들 전극과 처리챔버 측벽좌의 전위차보다도 크게 할 수 있다. 이 때문에, 플라즈마중의 전자가 챔버 측벽을 향하여 나는 것에 기인한 바라지 않는이상방전이 일어나는 것을 방지할 수 있고, 예컨대 요사이 요청되는 경향에있는 저압 플라즈마 프로세스 등에 있어서도 안정된 플라즈마를 형성할 수있다.
그러나, 본 발명자 등이 상세히 조사한 바, 상술한 종래의 플라즈마 에칭장치로는, 예컨대 온도변화에 따른 각 부재의 전기적 특성의 변화 등의 요인으로 말미암아, 각 전극에 공급되는 실제의 전력이 변동할 경우가 있고, 고주파 전원에 의하여 설정한 전력치와, 실제로 각 전극에 공급되는 전력치가 달라져버림으로써, 발생하는 플라즈마의 안정화가 손상되는 결과, 에칭처리의 정밀도를 저하시키는 한 원인으로 되어 있는 것이 판명되었다.
또, 본 발명자들의 상세한 조사에 의하면, 비록 고주파 전원으로 부터의 출력전력이 일정하였다고 하더라도, 챔버까지의 경로에서 소비되는 손실전력이 장치마다 다르므로, 챔버내의 전극에 인가되는 실제의 고주파전력이 반드시 일정하게 되지는 않는 것이 판명되었다. 즉, 통상, 고주파전력은, 도 6에 나타낸 바와같이, 고주파전원(60)→제 1 의 케이블(61)→정합기(62) 제 2의 케이블(63)→처리챔버내의 전극(64)이란 경로로 인가되는데, 고주파전원(60)으로 부터 예컨대 500W 로 출력되었다고 하더라도, 제 1 및 제 2 의 케이블(61),(63)에서의 전력손실이 2W 전후임과 동시에, 정합기(62)에서의 전력손실이 5W 전후이므로, 실제로 챔버내의 전극에 인가되는 고주파전력은491W 전후이다. 요컨대, 고주파전력은 챔버까지의 경로에서 10W 전후의 전력손실이 있으며, 이 값은 케이블의 길이나 주위의 환경조건에 따라서 변화한다.
이것은 복수의 플라즈마 에칭장치를 상정할 경우에, 각 챔버내의 전극에 인가되는 실제의 고주파전력치가 달라져 있는 것을 의미하며, 각 장치마다의 플라즈마 안정화에 장치간 차이가 생기고, 나아가서는 에칭처리의 정밀도에 이상을 초래하기 쉽다고하는 문제에 연결된다.
따라서, 본 발명의 목적은, 처리챔버내의 전극에 실제로 인가되는 고주파전력을 검출하여 소정치로 제어가능하게 구성함으로써, 플라즈마 발생의 안정화를 도모하여 플라즈마 처리의 고정밀도화에 기여할 수 있는 2새롭고 개선된 플라즈마 처리장치를 제공하고자 하는 것이다.
본 발명의 제 1 의 목적에 의하면, 처리챔버와, 상기 처리챔버내에 대향 설치되는 1 쌍의 전극을 가지는 플라즈마 처리수단과, 상기 처리챔버내의 상기 1 쌍의 전극 중의 적어도 한편의 전극에 인가하는 고주파전력을 출력하는 고주파전원과, 상기 처리챔버내의 상기 한편의 전극에 인가되는 상기 고주파전력의 실제의 값을 검출하는 전력검출 수단과, 상기 전력 검출수단에 의하여 검출되는 상기 고주파전력의 실제의 값에따라서 상기 고주파전원으로 부터 출력되는 상기 고주파전력을 소정의 값으로 제어하는 제어수단과, 상기 고주파 전원과 상기 전력검출수단과의 사이에 삽입되고, 상기 고주파전력의 전송로의 임피던스 매칭을 하는 임피던스 정합수단으로 이루어지는 플라즈마 처리장치를 제공하는 것이다.
본 발명의 제 2 의 목적에 의하면, 처리챔버와, 상기 처리챔버내에 대향 설치되는 제 1의 전극 및 제 2 의 전극을 가지는 플라즈마 처리수단과, 상기 처리챔버내의 상기 제 1의 전극에 인가하는 제 1의 고주파전력을 출력하는 제 1의 고주파전원과, 상기 처리챔버내의 상기 제 2의 전극에 인가하는 제 2의 고주파전력을 출력하는 제 2의 고주파전원과, 상기 처리챔버내의 상기 제 1의 전극에 인가되는 상기 제 1의 고주파전력의 실제의 값을 검출하는 제 1의 전력검출 수단과, 상기 처리챔버내의 상기 제 2의 전극에 인가되는 상기 제 2의 고주파전력의 실제의 값을 검출하는 제 2의 전력검출 수단과, 상기 제 1 및 제 2의 고주파전원으로 부터 출력되는 상기 제 1 및 제 2의 고주파전력은 서로 소정의 위상차를 가지며, 상기 제 1 및 제 2의 전력검출 수단에 의하여 검출되는 상기 제 1 및 제 2의 고주파전력의 실제의 값에 따라서 상기 제 1 및 제 2의 고주파 전원으로 부터 출력되는 상기 제 1 및제 2의 고주파전력을 각각 소정의 값으로 제어하는 제어수단과, 각각 상기 제 1 및 제 2의 고주파전원과 상기 제 1 및 제 2의 전력검출수단과의 사이에 대응적으로 삽입되고, 상기 제 1 및 제 2 고주파전력의 각전송로의 임피던스 매칭을 하는 제 1 및 제 2의 임피던스 정합수단으로 이루어지는 플라즈마 처리장치를 제공하는 것이다.
본 발명의 제 3 의 목적에 의하면, 처리쳄버와, 상기 처리챔버내에 대향 설치되는 제 1의 전극 및 제 2의 전극을 가지는 플라즈마 처리수단과, 소정의 고주파전력을 출력하는 고주파 전원과, 상기 고주파 전원으로 부터의 상기 소정의 고주파전력을 서로 소정의 위상차를 가지는 제 1 및 제 2의 고주파전력으로 분할하여 각각 상기 처리챔버내의 상기 제 1 및 제 2의 전극에 인가하는 전력분할 수단과, 상기 처리챔버내의 상기 제 1의 전극에 인가되는 상기 제 1의 고주파전력의 실제의 값을 검출하는 제 1의 전력검출 수단과, 상기 처리챔버내의 상기 제 2의 전극에 인가되는 상기 제 2의 고주파전력의 실제의 값을 검출하는 제 2의 전력검출 수단과 상기 제 1 및 제 2의 전력검출 수단에 의하여 검출되는 상기 제 1 및 제2의 고주파전력의 실제의 값에 따라서 상기 고주파전원으로 부터 출력되는상기 소정의 고주파전력을 제어하고, 이에 의하여 실질적으로 상기 제 1 및 제 2의 전극에 인가되는 상기 제 1 및 제 2의 고주파전력을 각각 소정치로제어하는 제어수단과, 상기 고주파전원과 상기 전력분할수단과의 사이에 삽입되고, 상기 고주파전력의 전송로의 임피던스매칭을 하는 임피던스 정합수단으로 이루어지는 플라즈마 처리장치를 제공하는 것이다.
본 발명의 실시예를 첨부된 도면에 의하여 상세히 설명하면 다음과 같다. 먼저, 본 발명의 제 1 실시예에 대하여, 플라즈마 에칭장치에 적용한 기본적 구성을 나타낸 도 1를 참조하여 설명한다.
도 1에 도시한 바와같이, 에칭장치(1)에는, 금속재질 예컨대 알루마이트 처리를 한 알루미늄으로 이루어지고, 내부를 기밀로 폐색가능하게 구성된 처리챔버(2)가 마련되어 있다. 이 처리챔버(2)는, 예컨대 전기적으로 어어스되어 있고, 그 내부에는, 처리챔버(2)의 내벽과 한편이 전기적으로 접속되고 다른편이 전기적으로 절연된 상태로 1 쌍의 평행평판 적극인 상부전극(3)과 하부전극(4)이 대향하듯이 설치되어 있다.
이 하부전극(4)은, 윗면에 피처리물인 반도체 웨이퍼(5)를 재치가능하게 구성되어 있다. 한편, 상부전극(3)은, 다수의 작은구멍(3a)으로 부터 하부전극(4) 위에 재치된 반도체 웨이퍼(5)를 향하여, 공급관(ST)을 통하여 도입되는 소정의 에칭가스를 공급할 수 있도록 구성되어 있다.
상기 반도체 웨이퍼(5)는 미처리 웨이퍼(5)의 카세트엘리베이터(CE1)로부터 반입용 로드록기구(LL1)를 통하여 챔버(2)내의 하부전극(4)위에 재치가능하게 구성되어 있다.
또한, 처리가 끝난 반도체 웨이퍼(5)는 배출용 로드록기구(LL2)를 통하여 챔버(2)내로 부터 처리가 끝난 반도체 웨이퍼(5)의 카세트엘리베이트(CE2)로 배출가능하게 구성되어 있다.
고주파전원(100)은 그로 부터의 소정 주파수의 고주파전력을 제 1의 케이블(104)을 통하여 임피던스 정합기(101)에, 또한, 제 2 의 케이블(105)을 통하여 상기 하부전극(4)에 인가가능하게 접속되어 있다. 상기 제 2 의 케이블(105)의 끝단부 즉 챔버(2)내의 하부전극(4)의 근방위치에는 전력검출부(102)가 배설되어 있다.
또한, 상기 임피던스 정합기(101)는 주지하는 바와 같이 고주파전원(100)으로 부터의 고주파전력을 처리챔버(2)내의 하부전극(4)에 효율좋게 인가하기위하여 필요하게 되는 것으로, 예컨대 일본국 특개소 59-73900에 개시된 플라즈마 발생기용 임피던스 자동정합 장치나 일본국 특개소 63-258110에 개시된 고주파 플라즈마 발생장치의 임피던스 정합기등을 사용할 수 있다. 이것은 후술하는 제 2 , 제 3 의 실시예에 있어서도 마찬가지이다.
상기 전력검출부(102)의 구체예에 대해서는 후술하겠는데, 챔버(2)내의 하부전극(4)에 인가되는 실제의 고주파전력치를 검출가능하고, 그 검출출력에 따라서 CPU 등을 포함하는 콘트롤러(103)을 통하여 검출된 고주파전력을 표시부(106)에 표시함과 동시에, 고주파전원(100)으로 부터의 출력전력치를 소정치에 피이드백 제어가능하게 구성되어 있다.
또한, 도 1중의 부호(DT)는 배기관이다.
상기 구성의 본 실시예의 플라즈마 에칭장치(1)에서는, 로드록기구(LL1)로 부터 처리챔버(2)내에 반도체 웨이퍼(5)를 반입하고, 하부전극(4) 위에 재치한다. 그리고, 배기관(DT)을 통하여 진공배기를 실시함으로써, 처리챔버(2)내를 소정의 진공도(예컨대 100밀리 Torr)로 유지하면서, 상부전극(3)의 다수의 작은구멍(3a)으로 부터 반도체웨이퍼(5)를 향하여 소정의 에칭가스(예컨대 CHF3)를 공급함과 동시에, 고주파 전원(100)으로 부터 임피던스 정합기(101)를 통하여 하부전극(4)에 소정 주파수 예컨대 13.56KHz 또는 380KHz등의 고주파전력을 공급한다. 그러면, 고주파전력에 의하여 에칭가스가 플라즈마화되고, 이 플라즈마의 작용에 의하여 반도체 웨이퍼(5)의 에칭처리가 행하여 진다.
그런데, 본 실시예의 플라즈마 에칭장치에서는, 상술한 바와같이 실제로 챔버(2)내의 하부전극(4)에 인가되는 고주파전력치가 전력검출부(102)에 의하여 검출되고 있으며, 콘트롤러(103)는 그 검출치에 따라서 고주파 전원(100)으로 부터의 출력전력치가 소정치로 되도록 피이드백 제어를 하고 있다.
도 2는 이 피이드백 제어의 형태를 나타낸다.
당초, 고주파 전원(100)으로 부터 예컨대 500W의 고주파전력이 출력되었다고 하고, 제 1 및 제 2 의 케이블(104),(105)에서의 전력손실이 각각 2W이고, 또한 임피던스 정합기(101)에서의 전력손실이 5W였다고 하면, 처리챔버(2)내의 하부전극(4)에는 491W의 고주파전력이 인가되고 있는 것으로 된다.
그런데, 전력검출부(102)에 있어서, 상기 처리챔버(2)내의 하부전극(4)에 실제로 인가되는 고주파전력이 491W인 것이 검출되므로, 이 검출출력이 피이드백되는 콘트롤러(103)는 챔버(2)까지의 경로에서의 전력 손실분을 보상하기 위하여 고주파전원(100)에 대하여 당초의 500W에 9W를 더한 509W의 고주파전력을 출력하는 것 같은 제어지령을 준다.
이 결과, 처리챔버(2)내의 하부전극(4)에는 안정된 플라즈마 발생에 필요한 500W의 고주파전력이 상시 인가된다. 이와 같은 피이드백 제어는 케이블 길이의 장단이나 주위의 환경조건의 변동에 따라서 하게 된다. 따라서, 종래와 같이 이와 같은 피이드백 제어를 하지 않는 플라즈마 에칭장치에 비하여, 본 실시예의 플라즈마 에칭장치는 고주파전력치의 변동에 의한 플라즈마 발생의 불안정 원인이 제거되므로, 에칭 정밀도를 상시 고정밀도로 유지할 수 있다.
더구나, 복수의 플라즈마 에칭장치를 상정한 경우라도, 본 실시예에 의하면 각 챔버내의 전극에 인가되는 실제의 고주파전력치에 의거하여 그것이 소정치로 되도록 제어되므로, 종래와 같이 각 장치마다 플라즈마 안정화에 장치간 차이가 생기는 것 같은 일이 없다. 이 결과, 각 장치마다의 에칭처리의 정밀도에 이상이 생기는 일이 없고, 어느 장치에서도 균일한 에칭정밀도를얻을 수 있다.
다음에 본 발명의 제 2 실시예를 도면을 참조하여 설명한다.
도 3에 도시한 바와같이, 에칭장치(1)에는, 금속재질 예컨대 알루마이트 처리를 한 알루미늄으로 이루어지고, 내부를 기밀도 폐색가능하게 구성된 처리챔버(2)가 마련되어 있다. 이 처리챔버(2)는, 예컨대 전기적으로 어어스되어 있고, 그 내부에는, 처리챔버(2)의 내벽과 전기적으로 절연된 1쌍의 평행평판 전극인 상부전극(3)과 하부전극(4)이 대향하듯이 설치되어 있다.
이 하부전극(4)은, 윗면에 피처리물인 반도체 웨이퍼(5)를 재치가능하게구성되어 있다. 한편, 상부전극(3)은, 예컨대 도시하지 않은 다수의 작은구멍으로 부터 하부전극(4) 위에 재치된 반도체 웨이퍼(5)를 향하여, 소정의 에칭가스를 공급할 수 있도록 구성되어 있다.
상술한 상부전극(3)에는, 임피던스 정합가(6)를 개재하여 고주파 전원(7)이 접속되어 있다. 또, 하부전극(4)에는, 임피던스 정합기(8)를 개재하여 고주파전원(9)이 접속되어 있다. 또한, 이들 고주파전원(7),(9)에는, 발신기를 갖춘 위상제어장치(10)가 접속되어 있다. 그리고, 이 위상 제어장치(10)에 의하여, 임피던스 정합기(6),(8)의 출력의 위상을 모니터하면서, 후술하는 콘트롤러(18)에 의하여 고주파전원(7),(9)으로 부터 출력되는 각 고주파전력의 위상을 소정범위, 예컨대 ±180도의 범위에서 임의로 설정하고, 제어할 수 있도록 구성되어 있다.
또, 임피던스 정합기(6)와 상부전극(3)과를 접속하는 고주파 케이블(11)및 임피던스 정합기(8)와 하부전극(4)과를 접속하는 고주파 케이블(12)에는, 각각 전력검출부(13),(14)가 설치되어 있다. 이들 전력검출부(13),(14)는, 도 4에 도시한 바와같이, 전류검출부(30) 및 전압검출부(31)를 갖추고 있다. 전력검출부(13),(14)로 부터의 출력을 연산하는 연산부(16),(17)는 각각 이들 전류검출부(30)와 전압검출부(31)의 검출결과를 승산하는 승산부(32)와 이 승산부(32)의 승산결과로 부터 소정타이밍(예컨대 100밀리초마다)으로 실효치를산출하고, 출력하는 실효치 연산부(33)로 구성되어 있다.
상기 전류검출부(30)는 상기 고주파 케이블(11),(12)에 결합된 변류가(T1)와, 이 변류기(T1)에 결합된 변압기(T2)의 2차측 1 단과 어어스 사이에 접속된 저항(R3)과를 포함하고, 이 저항(R3)의 양단으로 부터 상기 고주파 케이블(11),(12)에 흐르는 고주파전류에 대응한 출력성분을 얻는다.
상기 전압검출부(31)는 상기 고주파 케이블(11),(12)과 어어스 사이에 직렬로 접속된 콘덴서와 저항(R1),(R2)과를 포함하고, 이 저항(R1),(R2)의 접속중점으로 부터 상기 고주파 케이블(11),(12)에 걸리는 고주파 전압에 대응한출력성분을 얻는다.
그리고, 상기 구성의 연산부(16),(17)의 실효치 연샌부(33)의 출력은, A/D변환기를 내장하는 표시장치(15)에 입력되고, 상부전극(3) 및 하부전극(4)에 공급되고 있는 실제의 전력치로서, 각각 표시장치(15)에 디지탈 표시되도록 구성되어 있다. 또한, 표시장치(15)에는, 연산부(16),(17)에 의하여 연산된 전류치, 전압치 또는 상부전극(3)과 하부전극(4)의 전력치의 비율 등을 표시하도록 구성할 수도 있다.
또한, 제 1 실시예에서 사용하는 전력검출부(102)로서도 도 4에 도시한 바와 같은 전력검출부의 구체예로 좋다. 또, 제 1 실시예에서는 도 4의 산부에 상당하는 부분은 콘트롤러(103)내에 포함되어 있는 것으로 한다.
도 3로 되돌아가서, 연산부(16),(17)의 각 출력은 각각 CPU 등을 포함하는 콘트롤러(18)를 통하여 위상제어장치(10) 및 고주파 전원(7),(9)에 피이드백 된다.
또한, 콘트롤러(18)에는 상기 표시장치(15)와 같은 표시를 포함하는 바라는 표시를 하기 위한 표시부(19)가 접속되어 있다.
상기 구성의 본 실시예의 에칭장치(1)에서는, 도시하지 않은 반입반출구로 부터 처리챔버(2)내에 반도체 웨이퍼(5)를 반입하고, 하부전극(4)위에 재치한다. 그리고, 진공배기를 실시함으로써, 처리챔버(2)내를 소정의 진공도(예컨대 100 밀리 Torr)로 유지하면서, 상부전극(3)의 도시하지 않은 다수의 작은 구멍으로 부터 반도체 웨이퍼(5)를 향하여 소정의 에칭가스(예컨대 CHF3)를 공급함과 동시에, 고주파 전원(7),(9)으로 부터 정합기(6),(8)를 통하여 상부전극(3) 및 하부전극(4)에 소정 주파수 예컨대 13.56KHz 또는 380KHz등의고주파전력을 공급한다. 그러면, 고주파전력에 의하여 에칭가스가 플라즈마화되고, 이 플라즈마의 작용에 의하여 반도체 웨이퍼(5)의 에칭처리가 행하여진다.
그런데, 본 실시예에서는 처리챔버(2)내의 상부전극(3) 및 하부전극(4)에 2대의 고주파전원(7),(9)으로 부터 임피던스 정합가(6),(8)를 통하여 서로 소정의 위상차를 가지는 고주파전력을 각각 별도로 인가하고 있는데, 이들 실제로 인가되는 각 고주파전력치는 전력검출부(13),(14)에 의하여 각각 별도로 검출됨과 동시에, 연산부(16),(17)에 의하여 실효치 전력으로서 각각 별도로산출되고 있다. 이들 각각 산출된 전력실효치는 각각 콘트롤러(18)를 통하여 2 대의 고주파전원(7),(9)에 피이드백되어서, 2 대의 고주파전원(7),(9)으로 부터 출력되는 각 고주파전력이 각각 소저치로 되도록 제어하는 데에 제공된다. 이 때의 피이드백 제어의 형태 및 그것에 의한 효과는 전술한 제 1 실시예의 그것과 같다.
또한, 이때, 콘트롤러(18)는 위상 제어장치(10)에 의하여, 고주파전원(7),(9)으로 부터 출력되는 각 고주파전원의 위상을 예컨대 180도 비켜놓도록제어 한다. 또, 콘트롤러(18)는 상부전극(3)과 하부전극(4)과를 고주파전력 의 비율을, 고주파전원(7),(9)의 출력설정을 바꿈으로써 예컨대 50:50, 90:10, 80:20 등으로 설정한다. 본 실시예에서는, 2 대의 고주파전원(7),(9)을 사용하고 있으므로, 이와 같은 상부전극(3)과 하부전극(4)과의 고주파전력의 비율을 연속적으로 임의의 값으로 설정할 수 있다.
또, 이와 같은 제어를 콘트롤러(18)에 포함되는 CPU의 소프트웨어에 의하여 할 수 있고, 예컨대 에칭 프로세스중에 상부전극(3)과 하부전극(4)과의 고주파전력의 비율을 변경하도록 제어할 수도 있다.
또 상부전극(3), 하부전극(4)에 실제로 공급되 있는 전력은, 전력검출부 (13),(14)에 의하여 측정됨과 동시에, 연산부에 의하여 산출된 실효치 전력이 각각 표시장치(15)에 디지탈 표시된다. 따라서, 예컨대 오퍼레이터는, 이 디지탈 표시를 보면서 콘트롤러(18)를 통하여 고주파전원(7),(9)이 피이드백 제어됨으로써, 상부전극(3), 하부전극(4)에 실제로 공급되고 있는 전력치가 소정치로 유지되고 있는지의 여부를 모니터할 수 있고, 예기치 않은 고주파전력의 변동에 대하여서도 즉석에서 대처할 수도 있기 때문에, 종래에 비하여 고정밀도의 에칭처리를 할 수 있다.
도 5는, 본 발명의 제 3 실시예에 의한 플라즈마 에칭장치(40)의 구성을 나타낸 것으로서, 도 3에 도시한 제 2 실시예의 에칭장치(1)와 동일부분에는 동일부호를 붙여서 중복한 설명은 생략한다.
이 실시예의 에칭장치(40)에서는, 1대의 고주파전원(41)으로 부터의 고주파전력을 임피던스 정합기(45)를 통하여 트랜스(42)에 의하여 분배하고, 상부전극(3) 및 하부전극(4)에 위상이 180도 다른 고주파전력을 공급하도록 구성되어 있다. 또, 본 실시예에서는, 트랜스(42)의 온도를 검출하기 위한 온도센서(43)와, 온도 검출회로(44)가 배설되어 있고, 트랜스(42)의 온도가 상승하여, 트랜스(42)의 소손(燒損)이 발생할 염려가 생긴 경우는, 온도 검출회로(44)로부터 인터록 신호를 발생하여, 콘트롤러(18)를 통하여 고주파전원(41)으로 부터의 전력공급을 정지하도록 구성되어 있다. 또한, 인터록 신호는, 예컨대트랜스(42)의 온도가 80℃ 이상으로 상승한 경우에 발생하도록 구성되어 있다.
이와 같은 에칭장치(40)에서는, 예컨대 온도상승 등에 의하여 트랜스(42)의 코어재의 투자율(透磁率)이 저하하고, 트랜스(42)의 효율이 열화하여 소정의 전력의 전달을 할 수 없게 되는 일이 있다. 이와 같은 경우에도, 상부전극(3), 하부전극(4)에 실제로 공급되고 있는 전력이, 전력검출부(13),(14)에 의하여 측정됨과 동시에, 연산부(16),(17)에 의하여 산출된 실효치 전력이 각각표시장치(15)에 디지탈 표시된다.
따라서 오퍼레이터는 디지탈표시를 보면서 콘트롤러(18)를 통하여 고주파전원(41)이 피이브백 제어됨으로써, 상부전극(3), 하부전극(4)에 실제로 공급되고 있는 전력치가 소정치로 유지되고 있는지의 여부를 모니터할 수 있고, 예기치 않은 고주파전력의 변동에 대하여서도 즉석에서 대처할 수 있가 때문에, 종래에 비하여 고정밀도의 에칭처리를할 수 있다. 또, 트랜스(42)의 온도상승에 의한 소손 등도 방지할 수 있고, 종래에 비하여 안전성의 향상을 도모할 수 있다.
이상 설명한 바와 같이, 본 발명의 플라즈마 처리장치에 의하면, 처리챔버내의 각 전극에 실제로 공급되고 있는 고주파전력치를 플라즈마 발생의 안정화에 기여하도록 제어할 수 있고, 종래에 비하여 고정밀도의 플라즈마처리를 할 수 있음과 동시에 장치간 차이가 없는 프로세스를 용이하게 확립할 수 있다.

Claims (17)

  1. 처리챔버와, 상기 처리챔버내에 대향 설치되는 1쌍의 전극을 가지는 플라즈마 처리수단과, 상기 처리챔버내의 상기 1쌍의 전극 증의 적어도 한편의 전극에 인가하는 고주파전력을 출력하는 고주파전원과, 상기 처리챔버내의 상기 한편의 전극에 인가되는 상기 고주파전력의 실제의 값을 검출하는 전력검출수단과, 상기 전력검출수단에 의하여 검출되는 상기 고주파전력의 실제의 값에 따라서 상기 고주파전원으로부터 출력되는 상기 고주파전력을 소정의 값으로 제어하는 제어수단과, 상기 고주파 전원과 상기 전력검출수단과의 사이에 삽입되고, 상기 고주파저력의 전송로의 임피던스 매칭을 하는 임피던스 정합수단으로 이루어지는 플라즈마 처리장치.
  2. 제 1 항에 있어서. 상기 전력검출수단은 상기 고주파전력의 전송 로에 결합되는 전류검출부 및 전압검출부를 포함하는 플라즈마 처리장치.
  3. 제 2항에 있어서, 상기 제어수단은 상기 전류검출부 및 전압검출부로부 터의 각 출력을 승산하는 승산부와, 상기 승산부로부터의 출력에 의거하여 상기 고주파전력의 실효치를 연산하는 실효치 연산부를 포함하는 플라즈마 처리장치.
  4. 제 3항에 있어서, 상기 실효치 연산부에 의하여 연산된 상기 고주파전력의 실행치를 표시하는 표시수단으로 이루어지는 플라즈마 처리장치.
  5. 처리챔버와, 상기 처리챔버내에 대향 설치되는 제 1의 전극 및 제2의 전극을 가지는 플라즈마 처리수단과, 상기 처리챔버내의 상기 제 1의 전극에 인가하는 제 1의 고주파전력을 출력하는 제 1의 고주파전원과, 상기 처리챔버내의 상기 제 2의 전극에 인가하는 제 2의 고주파전력을 출력하는 제 2의 고주파전원과, 상기 처리챔버내의 상기 제 1의 전극에 인가되는 상기 제 1의 고주파전력의 실제의 값을 검출하는 제 1의 전력 검출수단과, 상기 처리챔버내의 상기 제 2의 전극에 인가되는 상기 제 2의 고주파전력의 실제의 값을 검출하는 제 2의 전력검출수단과, 상기 제 1 및 제 2의 고주파 전원으로부터 출력되는 상기 제 1 및 제 2의 고주파전력은 서로 소정의 위상차를 가지며, 상기 제 1 및 제 2의 전력검출수단에 의하여 검출되는 상기 제 1 및 제 2의 고주파전력의 실제의 값에 따라서 상기 제 1 멎 제 2의 고주파 전원으로부터 출력되는 상기 제 1 및 제2의 고주파전력을 각각 소정의 값으로 제어하는 제어수단과, 각각 상기 제 1 및 제 2의 고주파전원과 상기 제 1 및 제 2의 전력검출수단과의 사이에 대응적으로 삽입되고, 상기 제 1 및 제 2 고주파전력의 각전송로의 임피던스 매칭을 하는 제 1 및 제 2의 임피던스 정합수단으로 이루어지는 플라즈마 처리장치.
  6. 제 5항에 있어서, 상기 제 1 및 제 2의 전력검출수단은 각각 상기 제 1 및 제 2 고주파전력의 각 전송로에 결합되는 제 1 및 제 2의 전류검출부 및 제 1 및 제 2의 전압검출부를 포함하는 플라즈마 처리장치.
  7. 제 6항에 있어서, 상기 제어수단은 상기 제 1 및 제 2의 전류검출부 및 제 1 및 제 2의 전압검출부로부터의 각 출력을 대응적으로 승산하는 제 1 및 제 2의 승산부와, 상기 제 1 및 제 2의 승산부로부터의 각 출력에 의거하여 상기 제 1 및 제 2의 고주파전력의 실효치를 각각 별도로 연산하는 제 1 및 제 2의 실효치연산부를 포함하는 플라즈마 처리장치.
  8. 제7 항에 있어서, 상기 제 1 및 제 2의 실효치 연산부에 의하여 연산된 상기 제 1 및 제 2의 고주파전력의 실행치를 표시하는 표시수단으로 이루어지는 플라즈마 처리장치.
  9. 제5 항에 있어서, 상기 제어수단을 통하여 상기 제 1 및 제 2의 고주파 전원으로부터 출력되는 상기 제 1 및 제 2의 고주파전력간의 위상차를 소정의 값으로 제어하는 위상제어수단으로 이루어지는 플라즈마 처리장치.
  10. 제 9항에 있어서, 상기 위상제어수단은 상기 제 1 및 제 2의 임피던스 정합수단의 각 출력을 모니터하면서 상기 제 1 및 제 2의 고주파전력간의 위상차를 제어하는 수단을 포함하는 플라즈마 처리장치.
  11. 제 5항에 있어서, 상기 제어수단은 상기 제 1 및 제 2의 전극에 인가되는 상기 제 1 및 제 2의 고주파전력간의 비율을 소정의 값으로 가변설정하는 수단을 포함하는 플라즈마 처리장치.
  12. 처리챔버와, 상기 처리챔버내에 대향 설치되는 제 1의 전극 및 제2의 전극을 가지는 플라즈마 처리수단과, 소정의 고주파전력을 출력하는 고주파전원과, 상기 고주파 전원으로부터의 상기 소정의 고주파전력을 서로 소정의 위상차를 가지는 제 1 및 제 2의 고주파전력으로 분할하여 각각 상기 처리챔버내의 상기 제 1 및 제 2의 전극에 인가하는 전력분할수단과, 상기 처리챔버내의 상기 제 1의 전극에 인가되는 상기 제 1의 고주파전력의 실제의 값을 검출하는 제 1의 전력검출수단과, 상기 처리챔버내의 상기 제 2의 전극에 인가되는 상기 제 2의 고주파전력의 실제의 값을 검출하는 제 2의 전력검출수단과, 상기 제 1 및 제 2의 전력검출수단에 의하여 검출되는 상기 제 1 및 제 2의 고주파전력의 실제의 값에 따라서 상기 고주파전원으로부터 출력되는 상기 소정의 고주파전력을 제어하고, 이에 의하여 실질적으로 상기 제 1 및 제 2의 전극에 인가되는 상기 제 1 및 제 2의 고주파전력을 각각 소정치로 제어하는 제어수단과, 상기 고주파 전원과 상기 전력분할수단과의 사이에 삽입되고, 상기 고주파 전력의 전송로의 임피던스매칭을 하는 임피던스 정합수단으로 이루어지는 플라즈마 처리장치.
  13. 제 12 항에 있어서, 상기 제 1 및 제 2의 전력검출수단은 각각 상기 제 1 및 제 2 고주파전력의 각 전송로에 결합되는 제 1 및 제 2의 전류검출부 및 제 1 및 제 2의 전압검출부를 포함하는 플라즈마처리장치.
  14. 제 13항에 있어서, 상기 제어수단은 상기 제 1 및 제 2의 전류검출부 및 제 1 및 제 2의 전압검출부로부터의 각 출력을 대응적으로 승산하는 제 1 및 제 2의 승산부와, 상기 제 1 및 제 2의 승산부로부터의 각 출력에 의거하여 상기 제 1 및 제 2의 고주파전력의 실효치를 각각 별개로 연산하는 제 1 및 제 2의 실효치 연산부를 포함하는 플라즈마 처리장치.
  15. 제 14항에 있어서, 상기 제 1 및 제 2의 실효치 연산부에 의하여 연산된 상기 제 1 및 제 2의 고주파전력의 실행치를 표시하는 표시수단으로 이루어지는 플라즈마처리장치.
  16. 제 12항에 있어서, 상기 전력분할수단은 트랜스를 포함하고, 이 트랜스는 1차측에 상기 고주파 전원으로부터의 상기 소정의 고주파전력이 인가됨과 동시에, 중점이 어어스된 2차측 양끝단으로부터 서로 180도의 위상차를 가지는 상기 제 1 및 제 2의 고주파전력을 출력하는 플라즈마처리장치.
  17. 제 16항에 있어서, 상기 트랜스의 온도를 검출하는 온도센서와, 상기 온도센서로부터의 출력이 소정치 이상으로 되었을때, 상기 제어수단을 통하여 상기 고주파 전원으로부터의 전력공급을 정지하기 위한 인터록신호를 출력하는 온도검출회로와, 로 이루어지는 플라즈마 처리장치.
KR1019920013313A 1991-07-24 1992-07-24 챔버내의 전극에 있어서의 실제의 rf파워를 검출 및 제어 가능한 플라즈마 처리장치 KR0184675B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP18480491 1991-07-24
JP91-184804 1991-07-24

Publications (2)

Publication Number Publication Date
KR930003272A KR930003272A (ko) 1993-02-24
KR0184675B1 true KR0184675B1 (ko) 1999-04-15

Family

ID=16159586

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019920013313A KR0184675B1 (ko) 1991-07-24 1992-07-24 챔버내의 전극에 있어서의 실제의 rf파워를 검출 및 제어 가능한 플라즈마 처리장치

Country Status (2)

Country Link
US (1) US5314603A (ko)
KR (1) KR0184675B1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100838749B1 (ko) * 2006-02-03 2008-06-17 가부시키가이샤 히다치 하이테크놀로지즈 공진대책 기능을 가지는 플라즈마처리장치
KR100934402B1 (ko) * 2009-09-07 2009-12-31 아리온테크 주식회사 알에프 스플리트 모니터링 시스템
KR101374784B1 (ko) * 2012-05-22 2014-03-19 (주) 엔피홀딩스 유연한 실리콘 와이어 제조 장치
KR20170023053A (ko) 2009-12-15 2017-03-02 주식회사 우보테크 헤드레스트 이동장치

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6391147B2 (en) * 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
US5576629A (en) * 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US6699530B2 (en) 1995-07-06 2004-03-02 Applied Materials, Inc. Method for constructing a film on a semiconductor wafer
US6155198A (en) * 1994-11-14 2000-12-05 Applied Materials, Inc. Apparatus for constructing an oxidized film on a semiconductor wafer
US6291343B1 (en) 1994-11-14 2001-09-18 Applied Materials, Inc. Plasma annealing of substrates to improve adhesion
US5716534A (en) * 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
JPH08335573A (ja) * 1995-04-05 1996-12-17 Tokyo Electron Ltd プラズマ成膜方法及びその装置
US5565737A (en) * 1995-06-07 1996-10-15 Eni - A Division Of Astec America, Inc. Aliasing sampler for plasma probe detection
US5810963A (en) * 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5711998A (en) * 1996-05-31 1998-01-27 Lam Research Corporation Method of polycrystalline silicon hydrogenation
US5976986A (en) * 1996-08-06 1999-11-02 International Business Machines Corp. Low pressure and low power C12 /HC1 process for sub-micron metal etching
JP3196657B2 (ja) * 1996-09-18 2001-08-06 松下電器産業株式会社 表面処理装置及び表面処理方法
US6516742B1 (en) * 1998-02-26 2003-02-11 Micron Technology, Inc. Apparatus for improved low pressure inductively coupled high density plasma reactor
JP2000031072A (ja) * 1998-07-10 2000-01-28 Seiko Epson Corp プラズマモニタ方法及び半導体製造装置
US6080285A (en) * 1998-09-14 2000-06-27 Applied Materials, Inc. Multiple step ionized metal plasma deposition process for conformal step coverage
US6849154B2 (en) * 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP3959200B2 (ja) * 1999-03-19 2007-08-15 株式会社東芝 半導体装置の製造装置
US7180758B2 (en) * 1999-07-22 2007-02-20 Mks Instruments, Inc. Class E amplifier with inductive clamp
WO2001008288A2 (en) * 1999-07-22 2001-02-01 Eni Technology, Inc. Power supplies having protection circuits
JP4578651B2 (ja) 1999-09-13 2010-11-10 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置、プラズマエッチング方法
KR100415435B1 (ko) 1999-09-21 2004-01-31 주성엔지니어링(주) 반도체 소자 제조장치
US6326584B1 (en) * 1999-12-31 2001-12-04 Litmas, Inc. Methods and apparatus for RF power delivery
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6441620B1 (en) 2000-06-20 2002-08-27 John Scanlan Method for fault identification in a plasma process
US6501285B1 (en) 2000-06-20 2002-12-31 Scientific Systems Research Limited RF current sensor
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6819052B2 (en) * 2002-05-31 2004-11-16 Nagano Japan Radio Co., Ltd. Coaxial type impedance matching device and impedance detecting method for plasma generation
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
EP1547117A4 (en) * 2002-09-23 2010-04-07 Turner Entpr & Associates TRANSDUCER ASSEMBLY FOR CONTROLLING PROCESSES
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
TWI326466B (en) * 2003-03-04 2010-06-21 Hitachi Int Electric Inc Substrate processing device and a method for producing the same
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7910013B2 (en) * 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7755300B2 (en) * 2003-09-22 2010-07-13 Mks Instruments, Inc. Method and apparatus for preventing instabilities in radio-frequency plasma processing
CN100570805C (zh) * 2003-09-22 2009-12-16 Mks仪器股份有限公司 避免射频等离子加工中的不稳定性的方法和装置
US7403764B2 (en) * 2004-11-30 2008-07-22 Turner Terry R RF power delivery diagnostic system
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
EP1753011B1 (de) * 2005-08-13 2012-10-03 HÜTTINGER Elektronik GmbH + Co. KG Verfahren zur Erzeugung von Ansteuersignalen für HF-Leistungsgeneratoren
US7517437B2 (en) * 2006-03-29 2009-04-14 Applied Materials, Inc. RF powered target for increasing deposition uniformity in sputtering systems
WO2008054391A1 (en) * 2006-10-31 2008-05-08 Mks Instruments, Inc. Method and apparatus for preventing instabilities in radio-frequency plasma processing
DE102006052061B4 (de) * 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
TW200834671A (en) * 2007-02-12 2008-08-16 Innolux Display Corp Plasma enhanced chemical vapor deposition device
US8073646B2 (en) * 2007-03-30 2011-12-06 Tokyo Electron Limited Plasma processing apparatus, radio frequency generator and correction method therefor
US20090294275A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of a source power or bias power rf generator
US8324525B2 (en) * 2008-05-29 2012-12-04 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8337661B2 (en) * 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US7967944B2 (en) * 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US20090297404A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Plasma reactor with high speed plasma impedance tuning by modulation of source power or bias power
US8018164B2 (en) * 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
US8002945B2 (en) * 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8357264B2 (en) * 2008-05-29 2013-01-22 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8815329B2 (en) * 2008-12-05 2014-08-26 Advanced Energy Industries, Inc. Delivered energy compensation during plasma processing
US8344704B2 (en) * 2008-12-31 2013-01-01 Advanced Energy Industries, Inc. Method and apparatus for adjusting the reference impedance of a power generator
US8344801B2 (en) 2010-04-02 2013-01-01 Mks Instruments, Inc. Variable class characteristic amplifier
US20130284369A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
US9312106B2 (en) * 2013-03-13 2016-04-12 Applied Materials, Inc. Digital phase controller for two-phase operation of a plasma reactor
US10431428B2 (en) 2014-01-10 2019-10-01 Reno Technologies, Inc. System for providing variable capacitance
JP6512954B2 (ja) * 2015-06-11 2019-05-15 東京エレクトロン株式会社 フォーカスリングを検査するためのシステム、及びフォーカスリングを検査する方法
KR102307737B1 (ko) * 2015-06-11 2021-10-01 도쿄엘렉트론가부시키가이샤 정전 용량 측정용의 센서 칩 및 센서 칩을 구비한 측정기
US11476091B2 (en) 2017-07-10 2022-10-18 Reno Technologies, Inc. Impedance matching network for diagnosing plasma chamber
US11521833B2 (en) 2017-07-10 2022-12-06 Reno Technologies, Inc. Combined RF generator and RF solid-state matching network
JP7465265B2 (ja) 2018-11-21 2024-04-10 アプライド マテリアルズ インコーポレイテッド 位相制御を使用してプラズマ分布を調整するためのデバイス及び方法
WO2021020723A1 (ko) * 2019-07-26 2021-02-04 주성엔지니어링(주) 기판처리장치 및 그의 인터락 방법
CN113445029A (zh) * 2020-03-25 2021-09-28 拓荆科技股份有限公司 双面沉积设备及方法
US20230341448A1 (en) * 2020-06-29 2023-10-26 Lam Research Corporation Accurate determination of radio frequency power through digital inversion of sensor effects

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5973900A (ja) * 1982-10-18 1984-04-26 株式会社日立国際電気 プラズマ発生器用インピ−ダンス自動整合装置
US4500408A (en) * 1983-07-19 1985-02-19 Varian Associates, Inc. Apparatus for and method of controlling sputter coating
US4626312A (en) * 1985-06-24 1986-12-02 The Perkin-Elmer Corporation Plasma etching system for minimizing stray electrical discharges
JPS63258110A (ja) * 1987-04-15 1988-10-25 Seiko Epson Corp 高周波プラズマ発生装置のインピ−ダンス整合器
US4871421A (en) * 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
JPH0747820B2 (ja) * 1989-09-22 1995-05-24 株式会社日立製作所 成膜装置

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100838749B1 (ko) * 2006-02-03 2008-06-17 가부시키가이샤 히다치 하이테크놀로지즈 공진대책 기능을 가지는 플라즈마처리장치
KR100934402B1 (ko) * 2009-09-07 2009-12-31 아리온테크 주식회사 알에프 스플리트 모니터링 시스템
KR20170023053A (ko) 2009-12-15 2017-03-02 주식회사 우보테크 헤드레스트 이동장치
KR20170040784A (ko) 2009-12-15 2017-04-13 주식회사 우보테크 헤드레스트 이동장치
KR101374784B1 (ko) * 2012-05-22 2014-03-19 (주) 엔피홀딩스 유연한 실리콘 와이어 제조 장치

Also Published As

Publication number Publication date
KR930003272A (ko) 1993-02-24
US5314603A (en) 1994-05-24

Similar Documents

Publication Publication Date Title
KR0184675B1 (ko) 챔버내의 전극에 있어서의 실제의 rf파워를 검출 및 제어 가능한 플라즈마 처리장치
US5474648A (en) Uniform and repeatable plasma processing
US6792889B2 (en) Plasma processing apparatus and method capable of performing uniform plasma treatment by control of excitation power
US6174450B1 (en) Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US7292047B2 (en) High-frequency power source
JP4601179B2 (ja) 高周波バイアスの制御を伴うプラズマ処理方法および装置
US6558564B1 (en) Plasma energy control by inducing plasma instability
KR100907197B1 (ko) 플라즈마 여기전력을 제어하는 플라즈마 처리 방법 및 장치
JPH05205898A (ja) プラズマ処理装置
JPH0777213B2 (ja) ガス反応加工方法
US20200411288A1 (en) Method and apparatus for actively tuning a plasma power source
JPH04198726A (ja) 圧力ゲージ出力の零点調整装置
US9412670B2 (en) System, method and apparatus for RF power compensation in plasma etch chamber
JP5210905B2 (ja) プラズマ処理装置
JP2000150478A (ja) プラズマ発生方法及びプラズマ発生装置
JP3044049B2 (ja) プラズマ処理方法及び装置
US6528949B2 (en) Apparatus for elimination of plasma lighting inside a gas line in a strong RF field
US20200341043A1 (en) Method of determining correction function
JP3116762B2 (ja) プラズマエッチング装置
KR100804787B1 (ko) 능동 바이어스 제어 회로를 갖는 플라즈마 처리 장치 및 그제어 방법
JP2001250811A (ja) プラズマ処理方法及び装置
JP2005116818A (ja) プラズマ発生装置
US7125730B2 (en) Power supply, a semiconductor making apparatus and a semiconductor wafer fabricating method using the same
JP3287041B2 (ja) プラズマ処理装置の制御方法
KR100585538B1 (ko) 플라즈마처리시스템내에서위상차를제어하기위한장치및방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20111202

Year of fee payment: 14

EXPY Expiration of term