JPH09106898A - プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法 - Google Patents

プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法

Info

Publication number
JPH09106898A
JPH09106898A JP7286342A JP28634295A JPH09106898A JP H09106898 A JPH09106898 A JP H09106898A JP 7286342 A JP7286342 A JP 7286342A JP 28634295 A JP28634295 A JP 28634295A JP H09106898 A JPH09106898 A JP H09106898A
Authority
JP
Japan
Prior art keywords
plasma
processing chamber
generating electrode
plasma generating
groove
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7286342A
Other languages
English (en)
Inventor
Atsushi Sekiguchi
敦 関口
Riyouko Tobe
了己 戸部
Masao Sasaki
雅夫 佐々木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
Original Assignee
Anelva Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Anelva Corp filed Critical Anelva Corp
Priority to JP7286342A priority Critical patent/JPH09106898A/ja
Priority to TW085109328A priority patent/TW317690B/zh
Priority to KR1019960038568A priority patent/KR100232039B1/ko
Priority to US08/720,866 priority patent/US5855685A/en
Publication of JPH09106898A publication Critical patent/JPH09106898A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering

Abstract

(57)【要約】 【目的】 プラズマ発生電極と処理室の間の電気絶縁部
材に溝を形成することにより、基体上に導電膜を堆積す
る場合にも電気絶縁部材の絶縁性能が低下しないように
する。 【構成】 プラズマ発生電極61は、実質的に1ターン
のコイルであり、処理室20の壁を貫通する1対の導入
部分62、63を備えている。この導入部分と処理室2
0との間には、石英ガラス製の絶縁リング71が配置さ
れている。この絶縁リング71は、円板72の中央に円
形の貫通孔73が形成されていて、円板72の一方の側
(処理室空間に露出する側)に3個の円環状の突起74
が互いに同心状に形成されている。この円環状の突起7
4の間には、2個の円環状の溝79が形成される。3個
の円環状の突起74は、いずれも、高さが50mm、肉
厚が1mmであり、溝79の深さも50mmである。溝
79の幅は1mmである。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、処理室の内部にプ
ラズマ発生電極を備えるプラズマCVD装置に関し、ま
た、このプラズマCVD装置を用いて導電膜を堆積させ
るプラズマCVD方法に関する。また、本発明は、処理
室の内部にプラズマ発生電極を備えるプラズマ処理装置
に関する。
【0002】
【従来の技術】プラズマCVD法は、プラズマを用いて
原料ガスを化学反応させて基体上に薄膜を堆積させる薄
膜形成方法であるが、このプラズマCVD法は、半導体
集積回路素子、超伝導素子、各種電子素子、各種センサ
ー等を構成している金属膜、半導体膜、絶縁膜、光導電
体膜、拡散防止膜、密着層膜等の薄膜を作製する手法と
して広く用いられている。処理室内にプラズマを発生さ
せるためにはプラズマ発生電極を用いるのが一般的であ
り、典型的には、このプラズマ発生電極に高周波電力を
印加している。このプラズマ発生電極の形式を分類する
と、容量結合方式と誘導結合方式とに分類でき、また別
の観点からは、処理室の外部に電極を配置する外部電極
方式と、処理室の内部に電極を配置する内部電極方式と
に分類できる。これらの形式の中で広く用いられている
のは、容量結合方式でかつ内部電極方式である平行平板
型のプラズマCVD装置である。また、誘導結合方式で
かつ外部電極方式の例としては、石英ガラス製の放電室
の周囲にコイル電極を巻いたプラズマCVD装置もよく
用いられている。本発明は、内部電極方式のプラズマC
VD装置に関連しており、またこのプラズマCVD装置
を用いて導電膜を堆積させることに関連している。
【0003】内部電極方式のプラズマCVD装置では、
高周波電力が印加されるプラズマ発生電極は、処理室の
壁を通って処理室の内部に導入されている。この導入箇
所では、プラズマ発生電極と、接地されている金属製の
処理室との間に、電気絶縁部材を挿入して、プラズマ発
生電極と処理室とを電気的に絶縁している。
【0004】
【発明が解決しようとする課題】ところで、このような
内部電極方式のプラズマCVD装置を用いて基体上に導
電膜を堆積する場合には、次のような問題が生じる。上
記電気絶縁部材に導電性の膜が付着すると、絶縁性能が
劣化し、安定したプラズマ生成ができなくなる。したが
って、この電気絶縁部材に導電膜ができるだけ付着しな
いように、プラズマ発生電極やその周囲の構造を工夫す
る必要がある。平行平板型のプラズマCVD装置では、
対向する平板電極の間でプラズマが発生するので、平板
電極の背面側に配置される電気絶縁部材には導電膜が付
着しにくい。しかし、誘導結合方式の内部電極(コイル
状の電極)を用いる場合には、プラズマ発生電極の周囲
にプラズマが発生するので、導入箇所の電気絶縁部材は
プラズマにさらされる可能性が高く、導電膜が付着しや
すい。
【0005】このような問題点に関連して、電気絶縁部
材に溝を設けて導電膜の付着による絶縁劣化を防ぐよう
にした従来技術が特公昭60−7937号公報に開示さ
れている。この従来技術は、処理室内に配置された対向
する2枚の平板電極の間に挿入した絶縁スペーサに関す
るものであり、この絶縁スペーサに溝を形成して導電膜
付着による絶縁劣化を防いでいる。
【0006】また、特開平7−18433号公報は、誘
導結合方式の内部電極を用いてスパッタリング処理を行
う装置を開示している。この従来技術では、内部電極を
真空容器内に導入する絶縁部分に金属膜が堆積しないよ
うに、絶縁部分が容器内から死角位置になるような構造
にする、としているが、絶縁部分の具体的な形状や配置
状態は開示していない。
【0007】なお、外部電極方式を用いて導電膜を堆積
すれば、上述のような電気絶縁部材の問題がなくなる
が、次のような別の問題もある。外部電極方式の一例と
して、石英ガラス製の放電室の周囲にコイル状の電極を
巻いてプラズマを発生させる場合を説明すると、放電室
の内壁に導電膜が堆積することになり、この導電膜は、
コイル状の電極に印加した高周波電力をシールドしてし
まう。このため、放電室内のプラズマの状態が不安定と
なり、ひどいときにはプラズマ生成が不可能となる。し
たがって、外部電極方式の従来のプラズマCVD装置を
用いて基体上に導電膜を堆積させる場合は、放電室の内
壁面をしばしば洗浄することが必要である。
【0008】本発明の目的は、処理室の内部にプラズマ
発生電極を備えるプラズマCVD装置において、基体上
に導電膜を堆積する場合にもプラズマ発生電極と処理室
との間の絶縁性能が低下しないようにして、長期間にわ
たって安定したプラズマを維持することにある。
【0009】
【課題を解決するための手段】本発明は、処理室の内部
にプラズマ発生電極を有するプラズマCVD装置におい
て、プラズマ発生電極の導入部分と処理室との間に配置
した電気絶縁部材に特徴がある。この電気絶縁部材は、
プラズマ発生電極の導入部分が通過できる円形の貫通孔
と、処理室内に露出する側の表面に形成された環状の溝
とを備え、この溝が前記貫通孔を取り囲んでいる。この
環状の溝の開口部は、貫通孔の軸線に垂直な平面内にあ
ってもよく(すなわち、環状の溝の深さ方向は、貫通孔
の軸線に平行である)、または、貫通孔と同心の円筒面
内にあってもよい(すなわち、環状の溝の深さ方向は、
貫通孔の軸線に垂直である)。電気絶縁部材の処理室内
に露出する側の表面は粗面化するのが好ましい。
【0010】環状の溝の幅は0.01mm〜3mmの範
囲内とし、好ましくは、0.3mm〜2mmの範囲内と
する。溝の幅がこの上限範囲を越えると、プラズマが溝
の中に入り込みやすくなり、このプラズマCVD装置で
基体上に導電膜を堆積した場合に、溝の内部にも導電膜
が堆積しやすくなる(すなわち、絶縁部材の絶縁劣化が
進む)。溝の幅が下限範囲を下回ると、溝の縁の両側に
堆積した導電膜が互いに接触しやすくなるし、また、溝
の加工も困難になる。
【0011】溝の幅に対する溝の深さの比率(アスペク
ト比)は2〜1000の範囲内とし、好ましくは5〜1
00とする。アスペクト比がこの下限範囲よりも小さく
なると、成膜中間種が直接、溝の底部に到達しやすくな
り、絶縁部材の絶縁劣化が進む。アスペクト比が上限範
囲を越えると、溝が極端に深くなるので、絶縁部材の占
有空間が大きくなるし、溝の加工も困難になる。
【0012】本発明は、内部電極方式の誘導結合型のプ
ラズマ発生電極に適用すると効果的である。このプラズ
マ発生電極としては、実質的に1ターンコイルとしたも
の、あるいは複数ターンのコイルからなるものが考えら
れる。このような誘導結合型のプラズマ発生電極は、一
端は高周波電源に接続され、他端は接地結合機構を経由
して接地される。この接地結合機構は、コンデンサーと
金属板とコイルの少なくともいずれかを含むものであ
り、あるいは、これらを切り換えて使うようにしてもよ
い。
【0013】本発明のプラズマCVD装置で導電体の薄
膜を作製する場合を考えると、プラズマ発生電極と処理
室とを電気的に絶縁する電気絶縁部材に溝が形成されて
いるので、この溝の内部に導電膜が付着しにくくなり、
電気絶縁部材の絶縁性能が劣化しにくい。すなわち、溝
が形成されていない場合と比較して、長期間にわたって
絶縁性能が劣化せず、安定したプラズマが維持される。
溝の効果は次のように考えられる。この溝の幅が、ガス
分子の平均自由行程よりもかなり小さくなればこの溝内
にプラズマが入り込まないので、溝の壁面や底面に導電
膜が付着する確率は非常に小さくなる。ガス分子の平均
自由行程は、処理室内の圧力や温度、それにガス分子の
直径に依存するが、プラズマCVD法の実用的な圧力と
して例えば1Pa程度を想定すると、ガス分子の平均自
由行程は数mm程度である。したがって、溝の幅を、こ
れよりもかなり小さくすれば、膜付着防止に非常に効果
的である。また、溝に直接飛び込んで来る成膜中間種を
考えると、溝のアスペクト比を大きくすれば、溝の底部
まで到達する成膜中間種が少なくなり、効果的である。
また、溝を形成した副次的な効果として、電気絶縁部材
の表面に沿った絶縁距離が長くなり、これによっても電
気絶縁性能が劣化しにくくなる。
【0014】本発明はプラズマCVD装置以外のプラズ
マ処理装置にも適用可能である。例えば、スパッタリン
グ装置、ドライエッチング装置、プラズマクリーニング
装置、表面改質(表面酸化、表面窒化)処理装置にも適
用できる。
【0015】
【発明の実施の形態】図1は、この発明のプラズマCV
D装置の一実施形態の構成図であり、処理室の部分は正
面断面図を示している。真空に保持可能な処理室20の
内部には、基体ホルダー25とプラズマ発生電極61と
が配置されていて、基体ホルダー25にはバイアス電力
供給源90が接続され、プラズマ発生電極61には電力
供給源50と接地結合機構80とが接続されている。ま
た、処理室20には、ガス導入機構10と排気機構30
がつながっている。
【0016】まず、ガス導入機構10について説明す
る。図2はガス導入機構10の構成図である。このガス
導入機構10は3種類の原料ガスを使用できるようにな
っている。原料容器1aは、常温常圧で液体状態の原料
を所定の温度に加熱する恒温槽であり、この恒温槽で蒸
気化された原料は、流量制御器12aとバルブ13aを
経由して処理室20に導入される。原料容器1b、1c
は高圧ガスボンベであり、この中に入っている原料ガス
は、減圧弁11b、11cで減圧され、流量制御器12
b、12cで流量制御され、バルブ13b、13cを開
くと処理室20に導入される。ガス導入機構10の出口
は、プラズマ発生電極61の中心付近に開口している。
バルブ13a、13b、13cは、原料ガスを導入する
ときに開くものであるが、処理室20の内部を大気にす
るときには、原料ガスが大気で汚染されるのを防ぐため
にバルブ13a、13b、13cを閉じる。
【0017】次に、図1に戻って、基体ホルダー25の
構造を説明する。基体21は基体ホルダー25の上に置
かれる。基体ホルダー25の内部にはヒーター26と熱
電対27がある。基体ホルダー25の温度は熱電対27
で測定され、図示しない基体温度調節装置によってヒー
ター26に電力が供給されて、基体21の温度が制御さ
れる。この基体温度調節装置はPID制御方法を用いて
いるが、必要に応じてファジー回路を併用したり、PI
制御や、単なるON−OFF制御を採用してもよい。
【0018】次に、排気機構30を説明する。荒引きポ
ンプ31は油回転ポンプ(排気速度は毎分650リット
ル)であり、荒引きバルブ32を介して処理室20に接
続される。処理室20のクリーン性が非常に重要な場合
は、荒引きポンプ31としてオイルフリーのポンプを用
いることができ、また、メンテナンス性を向上させるに
はドライポンプを用いてもよい。メインポンプ35はバ
リアブルオリフィス34とメインバルブ33を介して処
理室20に接続され、後段には補助ポンプ36が接続さ
れる。メインポンプ35は複合型ターボ分子ポンプ(排
気速度は毎秒1300リットル)であり、処理室20内
のクリーン性がそれほど重要でなければ油拡散ポンプを
用いることもできる。補助ポンプ36は油回転ポンプ
(排気速度は毎分1180リットル)であり、荒引きポ
ンプ31と同様にドライポンプ等を用いてもよい。
【0019】処理室20を大気圧から排気するには、ま
ず、荒引きバルブ32を開いて荒引きポンプ31で処理
室20を排気する。処理室20の内部の圧力が所定の圧
力(排気系によって異なるが本実施形態では約100P
a)まで排気された後に、荒引きバルブ32を閉め、メ
インバルブ33を開いて、メインポンプ35によってさ
らに低圧力領域まで排気する。真空計で測定された処理
室圧力をもとにバリアブルオリフィス34を開閉して、
処理室20内の圧力を所定の値に調節できる。再現性の
良い安定したプラズマを得るためにはバリアブルオリフ
ィス34を用いることは有効である。
【0020】次に、プラズマ発生装置を説明する。この
プラズマ発生装置は、処理室20の内部にプラズマを発
生させるためのものであり、電力供給源50と、プラズ
マ発生電極61と、接地結合機構80とを備えている。
プラズマ発生電極61は、実質的に1ターンのコイルで
あり、処理室20の壁を貫通する1対の導入部分62、
63を備えている。プラズマ発生電極61は基体21に
対向している。図3はプラズマ発生電極61の平面図で
ある。このプラズマ発生電極61は、金属パイプを、ほ
ぼ1周の円環状に曲げたものであり、この円環状の部分
に対して垂直になるように導入部分62、63が形成さ
れている。この金属パイプの内部に冷却水を通せば電極
を水冷できる。ただし、必要に応じて空冷とすることが
でき、小電力の場合は冷却しなくてもよい。この実施形
態では、プラズマ発生電極61は1ターンコイルとした
が、2ターンや3ターンのコイルとしてもよい。また、
渦巻き状の電極としてもよい。
【0021】図1に戻って、プラズマ発生電極61の一
方の導入部分62は、インピーダンス整合回路51を介
して高周波電源52に接続されている。このインピーダ
ンス整合回路51と高周波電源52で電力供給源50が
構成される。高周波電源52の周波数は13.56MH
zで、定格出力は3kWである。ただし、周波数はこれ
に限定されず、kHzオーダーや、60MHzや、10
0MHzを用いてもよく、使用可能範囲は10kHz〜
1000MHz程度である。この範囲の上限を越えると
導電体を配線材料として使用できなくなり、下限を下回
ると電波として発信しなくなる。また、その出力波形
も、正弦波のみならずこれに所定の変形を施した波形で
もよい。インピーダンス整合回路51としてはΠ(パ
イ)型回路を用いているが、これ以外の例えばT型回路
等を使用してもよい。高周波電源52によって誘起され
た交番電力は、インピーダンス整合回路51でインピー
ダンス調整されてプラズマ発生電極61に供給される。
【0022】次に、プラズマ発生電極61の導入部分6
2、63を処理室20に取り付ける構造を説明する。図
4はプラズマ発生電極の導入部分と処理室との間に設け
られる絶縁リングの一部を切断した斜視図である。この
絶縁リング71は、電気絶縁材料である石英ガラスでで
きている。この絶縁リング71は、円板72の中央に円
形の貫通孔73が形成されていて、円板72の一方の側
(処理室空間に露出する側)に3個の円環状の突起74
が互いに同心状に形成されている。この円環状の突起7
4の間には、2個の円環状の溝79が形成される。溝7
9の開口部は、貫通孔73の軸線に垂直な平面内にあ
り、溝79の深さ方向は、貫通孔73の軸線に平行であ
る。これらの突起74と溝79は、全て、貫通孔73に
対して同心である。貫通孔73にはプラズマ発生電極の
円筒状の導入部分62(図1参照)が挿入される。3個
の円環状の突起74は、いずれも、高さが50mm、肉
厚が1mmである。したがって、溝79の深さも50m
mである。また、溝79の幅(隣り合う突起74の間
隔)は1mmである。したがって、溝79のアスペクト
比は50である。円環状の突起74の全面と、円板72
の処理室に露出する側の表面(図4の上側の面)は、ブ
ラスト処理が施されて粗面化されている。この粗面化に
より、絶縁リング71に付着した膜をはがれにくくし、
膜のはがれによる処理室内部のダスト汚染を防止してい
る。これを詳しく説明すると、絶縁リング71におい
て、溝79の内部以外の部分には膜が付着する可能性が
あり、例えば、突起74の頂面や、一番外側の突起74
の外周面や、これより外側の円板表面には膜が付着する
可能性がある。これらの箇所に粗面化が施されている
と、この部分に付着した膜がはがれにくくなる。
【0023】図5はプラズマ発生電極を処理室に取り付
ける部分の組立構造を示す正面断面図である。プラズマ
発生電極の導入部分62は、上述の絶縁リング71と絶
縁カラー75とOリング押さえ76とを用いて、処理室
20に取り付けられている。絶縁カラー75とOリング
押さえ76は、電気絶縁材料のポリイミド樹脂で作られ
ている。絶縁カラー75とOリング押さえ76には、導
入部分62が通る貫通孔が形成されている。絶縁カラー
75の内壁面の一端にはテーパが形成され、このテーパ
部分にバイトンゴム製のOリング77が挿入される。こ
のOリング77は、絶縁カラー75の内壁面のテーパ部
分とOリング押さえ76の下面と導入部分62の外周面
との間に挟まれて、導入部分62の外周面と絶縁カラー
75の内壁面との間を真空シールしている。処理室20
の天板には円形の貫通孔が形成されていて、この貫通孔
に絶縁カラー75の段部が挿入される。また、処理室2
0の天板の上面には環状のOリング溝が形成されてい
て、このOリング溝の中にバイトンゴム製のOリング7
8が嵌め込まれている。このOリング78は、絶縁カラ
ー75と処理室20との間を真空シールしている。Oリ
ング押さえ76はネジ100によって絶縁カラー75に
固定されており、絶縁カラー75はネジ101によって
処理室20の天板に固定されている。絶縁リング71の
円板部分は、絶縁カラー75の段部の下面と中空円板状
の取付金具102の上面との間に保持される。取付金具
102はネジ103によって処理室20の天板の下面に
固定されている。
【0024】プラズマ発生電極のもう一方の導入部分6
3(図1参照)も、図5と同じ構造で処理室に取り付け
られている。
【0025】図9は絶縁リングの変更例である。図9
(A)の絶縁リング71aは、3枚の中空円板74aが
貫通孔の軸線に沿って互いに平行になるように形成され
ている。中空円板74aの間に形成された溝79aは、
その開口部が貫通孔と同心の円筒面内にあり、溝79a
の深さ方向は貫通孔の軸線に垂直である。
【0026】図9(B)の絶縁リング71bは、(A)
と同様に、3枚の中空円板74aが貫通孔の軸線に沿っ
て互いに平行になるように形成されているが、溝79a
の開口部が導入部分62に向いて開いている。この場合
も、溝79aの開口部は、貫通孔と同心の円筒面内にあ
り、その深さ方向は貫通孔の軸線に垂直である。
【0027】図9(C)の絶縁リング71cは、(A)
の絶縁リングの特徴と図4に示す絶縁リングの特徴を組
み合わせたものである。すなわち、3枚の中空円板74
cが貫通孔の軸線に沿って互いに平行になるように形成
されており、その外側には、2個の円環状の突起74d
が形成されている。したがって、この絶縁リング71c
は、開口部が貫通孔と同心の円筒面内にあるような溝7
9cと、開口部が貫通孔の軸線に垂直な平面内にあるよ
うな溝79dとを備えている。
【0028】次に、図1に戻って、接地結合機構80を
説明する。この接地結合機構80は、プラズマ発生電極
61の導入部分63とアースとの間に設けられるもので
ある。接地結合機構80は、コイル81と銅製の金属板
82とコンデンサー83とを備え、これらが並列接続さ
れている。これらの一端は全てアースに接続されてお
り、これらの他端は、スイッチ84によって選択的に導
入部分63に接続可能となっている。典型的な使用方法
は、スイッチ84を金属板82に接続して、この金属板
82を通してプラズマ発生電極61の一端をアースに直
流的に接続する方法である。しかし、このように直流的
にプラズマ発生電極61の一端をアースに接続すると、
時たまプラズマが不安定になることがある。その場合
は、コンデンサー83を介して接地する。これにより、
プラズマ発生電極61の一端は、直流的にアースから遮
断されるが、高周波的に接地される。この実施形態のコ
ンデンサー83の容量は約500pFであるが、この容
量に限定されず、200〜1200pF程度の容量を使
用できる。これに対して、プラズマ発生電極61と処理
室20との間の浮遊容量は数pF程度である。コンデン
サー83としては、高周波特性が優れていて耐電圧性が
あるセラミックコンデンサーが適している。また、プラ
ズマ発生電極61の材質がスパッタされてしまう場合に
は、コイル81を介して接地することも有効である。す
なわち、プラズマ発生電極61の一端を高周波的にイン
ピーダンスを介して接地するものである。
【0029】次に、基体にバイアス電力を印加する機構
について説明する。基体ホルダー25は、インピーダン
ス整合回路91を介してバイアス用高周波電源92に接
続されている。このインピーダンス整合回路91とバイ
アス用高周波電源92でバイアス電力供給源90が構成
されている。バイアス用のインピーダンス整合回路91
の回路定数は、プラズマ発生用のインピーダンス整合回
路51のそれとは異なっている。バイアス用高周波電源
92によって誘起された交番電力は、インピーダンス整
合回路91でインピーダンス調整されて、基体ホルダー
25に供給され、基体21のバイアス電圧が調整され
る。基体ホルダー25の周囲には、処理室20に接続さ
れたシールド板93があり、また、基体ホルダー25は
絶縁体94によって処理室20から電気的に絶縁されて
いる。バイアス用高周波電源92の周波数は、プラズマ
発生用の高周波電源52の周波数とは少なくとも500
Hz以上異なることが必要である。そうしないと、2つ
の高周波電源が干渉して、安定したプラズマを得ること
ができない。本実施形態では、プラズマ発生用の高周波
電源51の周波数を13.560MHz、バイアス用高
周波電源92の周波数を13.562MHzとした。
【0030】次に、磁場発生機構を説明する。処理室2
0の周囲には、上下方向に細長い多くの永久磁石121
が配置されている。図6は図1の6−6断面図であり、
処理室20の水平断面を示している。24個の永久磁石
121は、処理室20の周囲に互いに等間隔に配置され
ていて、隣り合う永久磁石121は互いに反対の極性に
なっている。すなわち、処理室20の内部に向かってN
極とS極とが交互に配置されている。これらの永久磁石
121の働きにより、処理室20の内壁面近傍にはマル
チカスプ磁場122が形成される。なお、永久磁石の形
状や個数はこれに限定されるものではなく、処理室20
の内部に向かってN極とS極とが交互に配置される限
り、別の構成としてもよい。
【0031】このようなマルチカスプ磁場122を用い
ると、磁場によるプラズマ閉じこめの効果により、処理
室20の内壁面の近傍までプラズマが拡散することがな
く、均一な高密度のプラズマを維持できる。このマルチ
カスプ磁場と、バイアス電力供給源とを併用すると、大
型基体の表面に均一に大電流のイオンを流入させること
ができる。
【0032】次に、このプラズマCVD装置を用いた薄
膜作製方法の例を示す。まず、窒化チタン膜の作製例を
説明する。図1と図2において、原料容器1aに入れる
第1原料として四塩化チタンを用い、原料容器1bに入
れる第2原料として水素ガスを、原料容器1cに入れる
第3原料として窒素ガスを用いた。流量は四塩化チタン
が毎分20ミリリットル、水素ガスが毎分30ミリリッ
トル、窒素ガスが毎分10ミリリットルである。処理室
20内の圧力を約1Paに設定し、基体21の温度を4
50℃〜600℃に設定した。高周波電源52の出力は
2.5kWとした。この条件で薄膜を作製すると、窒化
チタンを主成分とした膜が毎分約30nmの速度で堆積
した。その際、従来の装置にみられたプラズマの経時変
化や、プラズマが生じなくなる現象は観測されず、本発
明の装置は窒化チタンのような導電体薄膜の作製に有効
であった。
【0033】このような窒化チタン薄膜は、例えば半導
体集積回路のコンタクト部の拡散防止膜として用いられ
ている。この用途では、直径が0.35μm以下で深さ
が1.5μm程度の穴の底に成膜しなくてはならない。
図7は、この場合の底部被覆率のバイアス用電力依存性
を示す。底部被覆率を説明すると、平坦部の膜厚をaと
し、コンタクト穴の底に堆積した膜厚をbとすると、底
部被覆率は次のように定義される。底部被覆率(%)=
(b/a)×100。この底部被覆率は、グラフから分
かるように、バイアス用電力を増加させるに従って急速
に良好な値となった。その理由は、プラズマ内に生じて
いるイオンが、基体21のバイアス電圧の作用によっ
て、基体21に垂直に入射し、このことによって底部被
覆率が改善されたからであると推測される。
【0034】処理室の内壁面近傍にマルチカスプ磁場を
形成すると、処理室の内壁面から約5cm以上離れた処
理室中心部で、比較的均一性の良いプラズマを維持でき
る。大型の基体を均一性(膜厚分布、膜質分布、底部被
覆率の均一性)良く成膜するためにはこのマルチカスプ
磁場を形成することは非常に有益である。特に、バイア
ス電力供給源と併用すると、良好な底部被覆率が均一性
良く得られ、より一層の効果がある。
【0035】次に、別の薄膜作製例を示す。第1原料と
して四塩化チタンを、第2原料として水素ガスを、第3
原料としてアルゴンガスを使用する。流量は四塩化チタ
ンが毎分20ミリリットル、水素ガスが毎分30ミリリ
ットル、アルゴンガスが毎分35ミリリットルである。
処理室20内の圧力を約1Paに設定し、基体21の温
度を550℃〜600℃に設定した。高周波電源52の
出力は2.5kWとした。この条件で薄膜を作成する
と、下地の材質によって膜の組成が異なってくる。例え
ば下地が酸化シリコン膜の場合、得られる薄膜は金属チ
タンである。また、下地がシリコンの場合には、得られ
る薄膜はチタンシリサイド(TiSi2)である。この
ような薄膜作製において、従来の装置にみられたような
プラズマの経時変化や、プラズマを生じさせることが不
可能となる現象は、本発明の装置では観測されず、安定
した再現性の良い導電体薄膜の堆積をおこなうことが可
能である。このような金属チタン薄膜やチタンシリサイ
ド薄膜は、例えば半導体集積回路のコンタクト部のコン
タクト抵抗低減膜として用いられる。この場合、前記の
マルチカスプ磁場やバイアス電力供給源を用いると、均
一性が良く、底部被覆率の良い薄膜を得ることができ
る。
【0036】以上のような金属チタン薄膜またはチタン
を含有する化合物薄膜を作製する場合、プラズマ発生電
極として金属チタン製のパイプを用いると、プラズマ発
生電極が多少スパッタされても、チタンが不純物となら
ないので、不純物の混入が無い良好な膜質の薄膜を得る
ことができる。
【0037】次に、アモルファスシリコン薄膜の作製例
を示す。原料容器1aと1cは用いずに、原料容器1b
の原料としてシランを用いた。シランの流量は毎分20
ミリリットルとした。処理室20内の圧力を約1Paに
設定し、基体21の温度を150℃〜300℃に設定し
た。高周波電源52の出力は0.5kWとした。この条
件でアモルファスシリコン膜を堆積させることができ
た。この場合においても、従来の装置で観察されたプラ
ズマの経時変化やプラズマを生じさせることができなく
なる現象は観測されなかった。
【0038】次に、酸化シリコン薄膜の作製例を示す。
原料容器1aは用いずに、原料容器1bの原料としてシ
ランを用い、原料容器1cの原料として酸素ガスを用い
た。流量はシランが毎分80ミリリットル、酸素ガスが
毎分160ミリリットルである。処理室20内の圧力を
約1Paに設定し、基体21の温度を150℃〜300
℃に設定した。高周波電源52の出力は2.5kWとし
た。この条件で酸化シリコン薄膜を堆積させることがで
きた。この場合、本発明の装置を用いると、外部電極方
式で使われるような大きな高周波窓を設置する必要がな
く、高周波窓の破損による事故の発生を防止できる。こ
の酸化シリコン薄膜の作製の場合、基体に例えば1.0
〜2.5kWのバイアス電力を印加すると、基体上の各
種配線膜間の微細なギャップ中に酸化シリコン膜を埋め
込むことができる。このことから、本発明の装置は、各
種配線膜間の層間絶縁膜の作製用としても有用である。
【0039】図8は本発明のプラズマCVD装置の別の
実施形態の要部構成図である。この実施形態では、プラ
ズマ発生電極61の上方にソレノイドコイル130が配
置されている。それ以外の構成は図1の実施形態と同じ
である。このソレノイドコイル130の発生する磁力線
131は、1ターンコイルの形状のプラズマ発生電極6
1の中心付近を通過して発散する。この磁力線131の
働きにより、処理室20内に、より高密度のプラズマを
発生させることができる。また、この実施形態の装置で
は放電の開始が容易になる。この装置を用いると、図1
に示した装置と同様に、窒化チタン、金属チタン、チタ
ンシリサイド、アモルファスシリコン、酸化シリコンな
どの薄膜を作製できる。
【0040】
【発明の効果】この発明では、プラズマ発生電極と処理
室とを電気的に絶縁する電気絶縁部材において、プラズ
マ発生電極の導入部分を通す貫通孔を取り囲むように環
状の溝が形成されているので、基体上に導電膜を堆積す
る場合に、前記溝の内部に導電膜が付着しにくくなり、
電気絶縁部材の絶縁性能が劣化しにくい。したがって、
経時変化の少ない安定したプラズマが長期間維持され
る。
【図面の簡単な説明】
【図1】本発明のプラズマCVD装置の一実施形態の構
成図である。
【図2】ガス導入機構の構成図である。
【図3】プラズマ発生電極の平面図である。
【図4】絶縁リングの一部を切断した斜視図である。
【図5】プラズマ発生電極を処理室に取り付ける部分の
組立構造を示す正面断面図である。
【図6】図1の6−6断面図である。
【図7】底部被覆率のバイアス用電力依存性を示すグラ
フである。
【図8】本発明のプラズマCVD装置の別の実施形態の
要部構成図である。
【図9】絶縁リングの変更例を示す正面断面図である。
【符号の説明】
10 ガス導入機構 20 処理室 21 基体 25 基体ホルダー 30 排気機構 50 電力供給源 61 プラズマ発生電極 62、63 導入部分 71 絶縁リング 72 円板 73 貫通孔 74 円環状の突起 79 円環状の溝 80 接地結合機構 90 バイアス電力供給源

Claims (21)

    【特許請求の範囲】
  1. 【請求項1】 処理室と、処理室内を真空に排気する排
    気機構と、原料ガスを処理室に導入するガス導入機構
    と、処理室内に配置されたプラズマ発生電極とを備え、
    プラズマ発生電極に電力を供給してプラズマを発生させ
    ることにより処理室内の基体上に膜を堆積させるプラズ
    マCVD装置において、 前記プラズマ発生電極は前記処理室の壁を貫通する円筒
    形状の導入部分を備え、この導入部分と処理室との間に
    電気絶縁部材が配置され、この電気絶縁部材は、前記導
    入部分が通過できる円形の貫通孔と、処理室内に露出す
    る側の表面に形成された環状の溝とを備え、この溝が前
    記貫通孔を取り囲んでいることを特徴とするプラズマC
    VD装置。
  2. 【請求項2】 前記環状の溝の開口部は、前記貫通孔の
    軸線に垂直な平面内にあり、前記環状の溝の深さ方向
    は、前記貫通孔の軸線に平行であることを特徴とする請
    求項1記載のプラズマCVD装置。
  3. 【請求項3】 前記環状の溝の開口部は、前記貫通孔と
    同心の円筒面内にあり、前記環状の溝の深さ方向は、前
    記貫通孔の軸線に垂直であることを特徴とする請求項1
    記載のプラズマCVD装置。
  4. 【請求項4】 前記環状の溝が複数個形成されているこ
    とを特徴とする請求項2または3に記載のプラズマCV
    D装置。
  5. 【請求項5】 前記環状の溝が複数個形成され、一部の
    溝の開口部は、前記貫通孔の軸線に垂直な平面内にあ
    り、残りの溝の開口部は、前記貫通孔と同心の円筒面内
    にあることを特徴とする請求項1記載のプラズマCVD
    装置。
  6. 【請求項6】 前記電気絶縁部材の処理室内に露出する
    側の表面が粗面化されていることを特徴とする請求項1
    記載のプラズマCVD装置。
  7. 【請求項7】 前記溝の幅が0.01mm〜3mmの範
    囲内であることを特徴とする請求項1記載のプラズマC
    VD装置。
  8. 【請求項8】 前記溝の幅が0.3mm〜2mmの範囲
    内であることを特徴とする請求項1記載のプラズマCV
    D装置。
  9. 【請求項9】 前記溝の幅に対する溝の深さの比率が2
    〜1000であることを特徴とする請求項1記載のプラ
    ズマCVD装置。
  10. 【請求項10】 前記溝の幅に対する溝の深さの比率が
    5〜100であることを特徴とする請求項1記載のプラ
    ズマCVD装置。
  11. 【請求項11】 前記プラズマ発生電極は実質的に1タ
    ーンコイルであることを特徴とする請求項1記載のプラ
    ズマCVD装置。
  12. 【請求項12】 前記プラズマ発生電極は1周以上に巻
    かれたコイルであことを特徴とする請求項1記載のプラ
    ズマCVD装置。
  13. 【請求項13】 前記プラズマ発生電極は二つの導入部
    分を備えており、一方の導入部分は高周波電源に接続さ
    れており、他方の導入部分は接地結合機構を経由して接
    地されていることを特徴とする請求項1記載のプラズマ
    CVD装置。
  14. 【請求項14】 前記接地結合機構は、前記プラズマ発
    生電極とアースとを接続するコンデンサーと、前記プラ
    ズマ発生電極とアースとを接続する金属板と、前記プラ
    ズマ発生電極とアースとを接続するコイルのうちの少な
    くとも一つを含んでいることを特徴とする請求項13記
    載のプラズマCVD装置。
  15. 【請求項15】 前記接地結合機構は、前記プラズマ発
    生電極とアースとを接続できるコンデンサーと、前記プ
    ラズマ発生電極とアースとを接続できる金属板と、前記
    プラズマ発生電極とアースとを接続できるコイルのうち
    の少なくとも二つを含んでいて、これらの接続がスイッ
    チ装置により選択的に切り換えられることを特徴とする
    請求項13記載のプラズマCVD装置。
  16. 【請求項16】 処理室と、処理室内を真空に排気する
    排気機構と、処理用のガスを処理室に導入するガス導入
    機構と、処理室内に配置されたプラズマ発生電極とを備
    え、プラズマ発生電極に電力を供給してプラズマを発生
    させることにより処理室内の基体を処理するプラズマ処
    理装置において、 前記プラズマ発生電極は前記処理室の壁を貫通する円筒
    形状の導入部分を備え、この導入部分と処理室との間に
    電気絶縁部材が配置され、この電気絶縁部材は、前記導
    入部分が通過できる円形の貫通孔と、処理室内に露出す
    る側の表面に形成された環状の溝とを備え、この溝が前
    記貫通孔を取り囲んでいることを特徴とするプラズマ処
    理装置。
  17. 【請求項17】 処理室に原料ガスを導入して、処理室
    内に配置されたプラズマ発生電極に電力を供給してプラ
    ズマを発生させることにより、処理室内の基体上に導電
    性の膜を堆積させるプラズマCVD方法において、 前記プラズマ発生電極は前記処理室の壁を貫通する円筒
    形状の導入部分を備え、この導入部分と処理室との間に
    電気絶縁部材が配置され、この電気絶縁部材は、前記導
    入部分が通過できる円形の貫通孔と、処理室内に露出す
    る側の表面に形成された環状の溝とを備え、この溝が前
    記貫通孔を取り囲んでいることを特徴とするプラズマC
    VD方法。
  18. 【請求項18】 前記原料ガスとして四塩化チタンと窒
    素ガスと水素ガスとを用いて、基体上に窒化チタンの膜
    を堆積させることを特徴とする請求項17記載のプラズ
    マCVD方法。
  19. 【請求項19】 前記原料ガスとして四塩化チタンと水
    素ガスとを用いて、基体上にチタンの膜を堆積させるこ
    とを特徴とする請求項17記載のプラズマCVD方法。
  20. 【請求項20】 前記原料ガスとして四塩化チタンと水
    素ガスとを用い、かつ、前記基体としてシリコンを用い
    て、基体上にチタンシリサイドの膜を堆積させることを
    特徴とする請求項17記載のプラズマCVD方法。
  21. 【請求項21】 前記プラズマ発生電極の材質としてチ
    タンを用いることを特徴とする請求項18から20まで
    のいずれか1項に記載のプラズマCVD方法。
JP7286342A 1995-10-09 1995-10-09 プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法 Pending JPH09106898A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP7286342A JPH09106898A (ja) 1995-10-09 1995-10-09 プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
TW085109328A TW317690B (ja) 1995-10-09 1996-08-02
KR1019960038568A KR100232039B1 (ko) 1995-10-09 1996-09-06 플라즈마 cvd 장치, 플라즈마 처리장치 및 플라즈마 cvd 방법
US08/720,866 US5855685A (en) 1995-10-09 1996-10-03 Plasma enhanced CVD apparatus, plasma enhanced processing apparatus and plasma enhanced CVD method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7286342A JPH09106898A (ja) 1995-10-09 1995-10-09 プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法

Publications (1)

Publication Number Publication Date
JPH09106898A true JPH09106898A (ja) 1997-04-22

Family

ID=17703151

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7286342A Pending JPH09106898A (ja) 1995-10-09 1995-10-09 プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法

Country Status (4)

Country Link
US (1) US5855685A (ja)
JP (1) JPH09106898A (ja)
KR (1) KR100232039B1 (ja)
TW (1) TW317690B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003055767A (ja) * 2001-08-14 2003-02-26 Tokyo Electron Ltd 金属シリサイド膜の成膜方法
JP2008518429A (ja) * 2004-09-29 2008-05-29 ラム リサーチ コーポレーション プラズマ加工システムのrfアーススイッチ

Families Citing this family (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6740573B2 (en) * 1995-02-17 2004-05-25 Micron Technology, Inc. Method for forming an integrated circuit interconnect using a dual poly process
US6435130B1 (en) * 1996-08-22 2002-08-20 Canon Kabushiki Kaisha Plasma CVD apparatus and plasma processing method
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6302057B1 (en) * 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
US6139679A (en) * 1998-10-15 2000-10-31 Applied Materials, Inc. Coil and coil feedthrough
US6291341B1 (en) * 1999-02-12 2001-09-18 Micron Technology, Inc. Method for PECVD deposition of selected material films
FR2797997B1 (fr) * 1999-08-26 2002-04-05 Cit Alcatel Procede et dispositif pour le traitement de substrat sous vide par plasma
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6605195B2 (en) 2000-04-14 2003-08-12 Seagate Technology Llc Multi-layer deposition process using four ring sputter sources
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
KR100534209B1 (ko) * 2003-07-29 2005-12-08 삼성전자주식회사 반도체소자 제조용 화학기상증착 공정설비
US7022104B2 (en) 2003-12-08 2006-04-04 Angioscore, Inc. Facilitated balloon catheter exchange
US20050177130A1 (en) * 2004-02-10 2005-08-11 Angioscore, Inc. Balloon catheter with spiral folds
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US7780814B2 (en) * 2005-07-08 2010-08-24 Applied Materials, Inc. Wafer pre-clean reactor cable termination for selective suppression/reflection of source and bias frequency cross products
US7626135B2 (en) * 2006-05-10 2009-12-01 Sub-One Technology, Inc. Electrode systems and methods of using electrodes
US8011165B2 (en) * 2006-06-07 2011-09-06 Integritect Consulting, Inc. Composite assembly with saturated bonding mass and process of reinforced attachment
US20080110752A1 (en) * 2006-11-09 2008-05-15 Stowell Michael W System and method for high-energy sputtering using return conductors
US20080188090A1 (en) * 2007-02-02 2008-08-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7789993B2 (en) * 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100847007B1 (ko) * 2007-05-31 2008-07-17 세메스 주식회사 플라즈마를 이용한 기판 처리 장치 및 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9731241B2 (en) 2014-06-12 2017-08-15 Air Products And Chemicals, Inc. Radial flow adsorber ‘U’ configuration
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) * 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0558072A (ja) * 1991-09-05 1993-03-09 Oji Paper Co Ltd 直描型平版印刷版材料
JP3195427B2 (ja) * 1992-07-15 2001-08-06 富士通株式会社 データ変換/逆変換装置
JPH06275600A (ja) * 1993-03-23 1994-09-30 Anelva Corp 薄膜作製方法および装置
JPH0718433A (ja) * 1993-06-30 1995-01-20 Kobe Steel Ltd Icpスパッタリング処理装置
JPH0740468A (ja) * 1993-07-27 1995-02-10 Futaba:Kk 製袋用切断装置及び融着装置
JPH07254500A (ja) * 1994-03-14 1995-10-03 Kokusai Electric Co Ltd プラズマ処理装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003055767A (ja) * 2001-08-14 2003-02-26 Tokyo Electron Ltd 金属シリサイド膜の成膜方法
JP2008518429A (ja) * 2004-09-29 2008-05-29 ラム リサーチ コーポレーション プラズマ加工システムのrfアーススイッチ
JP4913740B2 (ja) * 2004-09-29 2012-04-11 ラム リサーチ コーポレーション プラズマ加工システム

Also Published As

Publication number Publication date
KR970021369A (ko) 1997-05-28
KR100232039B1 (ko) 1999-12-01
TW317690B (ja) 1997-10-11
US5855685A (en) 1999-01-05

Similar Documents

Publication Publication Date Title
JPH09106898A (ja) プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US5891349A (en) Plasma enhanced CVD apparatus and process, and dry etching apparatus and process
KR100225567B1 (ko) 티탄계 도전성 박막의 제작방법
KR100445018B1 (ko) 고종횡비 실리콘 반도체 디바이스 콘텍트들을 금속화하는 방법 및 장치
US8613828B2 (en) Procedure and device for the production of a plasma
KR100240534B1 (ko) 유도성 및 용량성 커플링이 조합된 고밀도 플라즈마 cvd 반응로 작동방법
US5824158A (en) Chemical vapor deposition using inductively coupled plasma and system therefor
US7510667B2 (en) Plasma processing method and apparatus
JP3429391B2 (ja) プラズマ処理方法及び装置
US4686113A (en) Plasma confinement in a low pressure electrically grounded R.F. heated reactor and deposition method
JP2006507662A (ja) プラズマ処理システム内のアーク抑制方法およびシステム
GB2260339A (en) Ecr plasma cvd method and apparatus for preparing a silicon oxide film
US6020570A (en) Plasma processing apparatus
JPH07161695A (ja) プラズマ処理方法
JPH04279044A (ja) 試料保持装置
KR100225571B1 (ko) 디스크 재생 장치
JP3720901B2 (ja) プラズマ処理装置及びアンテナの製造方法
JP3458912B2 (ja) プラズマ処理装置
JP2001345312A (ja) プラズマ処理装置及びプラズマ処理方法並びに構造体の製造方法
JP3950494B2 (ja) 窒化チタン薄膜の作製方法
JP3327618B2 (ja) プラズマ処理装置
KR100542799B1 (ko) 반도체웨이퍼상에서막을형성하는방법
JPH06280028A (ja) プラズマ処理方法及び装置
US5744403A (en) Dielectric film deposition method and apparatus
JPH0744028B2 (ja) グロ−放電装置

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20040106