KR100240534B1 - 유도성 및 용량성 커플링이 조합된 고밀도 플라즈마 cvd 반응로 작동방법 - Google Patents

유도성 및 용량성 커플링이 조합된 고밀도 플라즈마 cvd 반응로 작동방법 Download PDF

Info

Publication number
KR100240534B1
KR100240534B1 KR1019950010291A KR19950010291A KR100240534B1 KR 100240534 B1 KR100240534 B1 KR 100240534B1 KR 1019950010291 A KR1019950010291 A KR 1019950010291A KR 19950010291 A KR19950010291 A KR 19950010291A KR 100240534 B1 KR100240534 B1 KR 100240534B1
Authority
KR
South Korea
Prior art keywords
chamber
wafer
pedestal
ceiling electrode
coil antenna
Prior art date
Application number
KR1019950010291A
Other languages
English (en)
Other versions
KR950034551A (ko
Inventor
세인 터거트
씨. 레더커 프레트
노워크 로무얼드
리 시지안
다이어 티모시
알. 위티 더럭
Original Assignee
제임스 조셉 드롱
어플라이드 머티어리얼스 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 제임스 조셉 드롱, 어플라이드 머티어리얼스 인코포레이티드 filed Critical 제임스 조셉 드롱
Publication of KR950034551A publication Critical patent/KR950034551A/ko
Application granted granted Critical
Publication of KR100240534B1 publication Critical patent/KR100240534B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Organic Chemistry (AREA)
  • Public Health (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

본 발명은 에칭가스를 가스 주입구를 통해 챔버로 도입할 동안 챔버에 진공을 생성시키고, 진공 챔버에서 주로 용량성 커플링된 플라즈마를 생성하기 위해서, 코일 안테나는 RF 에너지를 인가하지 않으면서 챔버내의 천장전극에 RF 에너지를 인가하여 플라즈마 반응로를 정화하는 방법으로 실현된다. 다른 태양에서 상기 방법은, 반응로를 유도성 커플링 모드에서 작동시키고자 할 경우에는, 천장전극이 접지될 동안 반응로의 코일 안테나에 RF 전력을 인가하고, 반응로를 용량성 커플링 모드에서 작동시키고자 할 경우에는, 천장전극에 RF 전력을 인가하고, 반응로를 정화시키고자 할 경우에는 에칭 가스가 진공챔버로 도입될 동안 천장전극 및 코일 안테나에 RF 전력을 인가함으로써 반응로를 정화하는 단계를 포함한다. 또 다른 태양에서 상기 방법은, 천장전극이 접지될 동안 코일 안테나에 RF 전력을 인가함으로써 유도성 커플링된 플라즈마를 유지하는 동안 챔버내로 증착물 선구가스를 도입함으로써 웨이퍼 상에서 화학증착을 수행하고, 천장전극에 RF 전력을 인가함으로써 챔버에 용량상 커플링된 플라즈마를 유지하는 동안 챔버로 선구 정화가스를 도입함으로써 반응로를 정화하는 단계를 포함한다.

Description

유도성 및 용량성 커플링이 조합된 고밀도 플라즈마 CVD 반응로 작동방법
제1도는 본 발명을 구현하는 플라즈마 반응로의 개략적인 횡단면도.
* 도면의 주요부분에 대한 부호의 설명
12 : 진공펌프 12a : 펄프밸브
14 : 주입노즐 16 : 가스공급 링 매니폴드
18 : 금속 하우징 20 : 천장
44 : 웨이퍼 받침대 44a : 유전체 환상부
45 : 반도체 웨이퍼 46 : 스커트(skirt)
56 : 뚜껑 58 : 냉각 재킷
60 : 저항성 가열기
본 발명은 챔버 정화(cleaning) 공정을 위해 개별적으로 선택되거나 또는 조합 상태로 될 수 있는 유도성 및 용량성 커플링(coupling) 모드를 가지는 고밀도 플라즈마 CVD 반응로 작동방법에 관한 것이다.
화학증착(CVD), 에칭, 반응이온 에칭 등의 반도체 처리를 위해 사용되는 플라즈마 반응로에는 플라즈마를 생성(strike) 및 유지하기 위하여 유도성 커플링 또는 용량성 커플링이 전형적으로 사용된다.
전형적으로, 에칭 반응로는 용량성 커플링된 플라즈마의 고이온 에너지 특성은 예를 들면, 실리콘 이산화물 같은 필름을 제거하는데 적당하기 때문에 용량성 커플링을 사용한다. 고이온 에너지는 용량성 커플링된 플라즈마를 생성시키기 위하여 요구되는 높은 전기장에 의해 발생한다. 예를 들면, 1993년 7월 28일에 공개된 "플라즈마 에칭처리"라는 명칭의 콜린스 등에 의한 유럽특허 출원 공개번호 제 0 552 491 A1 호에서 개시된 것처럼, 받침대를 포함하는 용량성 커플링된 반응로는 병렬 전극을 가진다.
그러나, 용량성 커플링된 플라즈마의 플라즈마 밀도는 저압에서 화학증착(CVD) 및 스퍼터링(sputtering)을 동시에 수행하기에는 충분하지 않다. 따라서, 페어베른 및 노워크에 의해 1993년 8월 27일에 출원된 "고밀도 플라즈마 CVD 및 에칭 반응로"란 명칭의 미합중국 특허출원 제 08/113,776 호에 개시된 유형의 CVD 플라즈마 반응로는 플라즈마를 생성하고 유지하기 위하여 유도성 커플링을 사용한다. 페어베른 및 노워크의 특허원에서, 반구형 모양의 챔버 천장중의 반구형 모양의 나선형 코일은 낮은 챔버압(100 밀리토르 미만)에서 플라즈마에 대하여 유도성 커플링을 수행하고, 반구형 모양은 반도체 웨이퍼(wafer)에 보다 균일한 이온 밀도 분포를 제공한다. 그러한 유도성 커플링된 플라즈마는 고이온 밀도를 특징으로 하며, 따라서 높은 처리(예를 들면, 증착) 속도에서의 저압 CVD 플라즈마 처리에 적당하다.
용량성 커플링된 플라즈마와 유도성 커플링된 플라즈마의 주요 차이점은 아래와 같다 : 유도성 커플링된 플라즈마는 2자리수 이상까지 차이나게 큰 플라즈마 밀도를 가짐으로써 더 높은 처리율을 제공한다. 용량성 커플링된 플라즈마는 더 높은 전극시트 전압, 더 높은 자기 바이어스(self-bias) 및 플라즈마 임피던스(impedance)를 가짐으로써, 더 높은 스퍼터링 비율 및 더 큰 웨이퍼 손상 위험성을 제공한다. 유도성 커플링된 플라즈마는 더 낮은 이온 에너지 분포를 나타내어, 웨이퍼에 대한 손상 위험성이 낮다.
모든 플라즈마 반응로, 특히 플라즈마 CVD 반응로의 요건은, 증착물 및 잔류물을 제거하기 위하여 주기적으로 정화되어야 한다는 것이다. 예를 들면, CVD 반응로에서, 반도체 웨이퍼상에 실리콘 이산화물을 증착할 동안, 실리콘 이 상화물은 반응로 챔버의 벽 및 천장 뿐만 아니라 다른 부품들에 증착되어 챔버의 특징을 변화시키고 미립자 오염을 일으킨다. 그러므로, 반응로 챔버는 모든 그러한 증착물 및 잔류물을 제거하기 위하여 주기적으로 정화되어야 한다. 실리콘 이산화물 증착물의 제거는 챔버에 (예를 들어) NF3같은 플루오라이드-포함 에칭 가스를 도입하고 챔버 정화공정을 수행하기 위하여 플라즈마를 생성함으로써 이루어진다.
유도성 커플링된 플라즈마 반응로의 하나의 한계는 이들이 더 큰 용적, 낮은 작동압력, 비도전성 천장 및 챔버 벽 표면의 바이어스 부족 때문에 챔버 정화공정을 수행하는데 더 많은 시간이 필요하다는 것이다. 실리콘 이산화물 같은 특정 잔류물은 전형적으로 낮은 이온 에너지에서 상대적으로 낮은 에칭 비율을 가지므로 유도성 커플링된 플라즈마에 의해 제거하는 것은 어려울 수 있다.
그런 챔버 정화공정이 갖는 한가지 문제점은 반응로 챔버내의 다양한 표면이 챔버내 위치 차이 및 물질 차이 때문에 같은 비율로 정화(에칭)되지 않는 것이다. 플라즈마 밀도 및 이온 에너지는 챔버내의 모든 위치에서 동일하지는 않으므로, 정화(에칭) 비율의 차이는 꽤 전형적이다. 게다가, 웨이퍼 처리과정(CVD 처리 같은) 동안, 증착물은 다른 곳보다 특히 챔버 표면상에 보다 두껍게 증착되므로, 증착물 또는 잔류물 두께는 챔버 내부 전체에 걸쳐 균일하지 않다. 예를 들어, 상기에서 참조된 콜린스 등의 유럽출원에서의 에칭 반응로에서, 에칭 처리 잔류물은 플라즈마 밀도가 균일하지 않기 때문에 천장의 가장자리 보다 천장의 중앙에서 훨씬 더 두껍다.
결과적으로, 일부 금속 표면은 다른 과정전에 잔류물 또는 증착물이 완전히 정화되고, 정화된 금속 표면(예를 들면, 알루미늄)은 여전히 정화되지 않은 표면으로부터 남아있는 오염물질이 제거될 동안 에칭된다. 예를 들면, 콜린즈 등에 의한 유럽 특허원에서의 편평한 천장 전극의 경우, 천장 전극의 가장자리가 빠르게 정화되는 동안 중앙부분에는 여전히 두꺼운 잔류물 피막이 남아 있다. 전극 가장자리의 노출된 금속 표면은 여전히 정화되지 않은 중앙 부분으로부터 RF 에너지는 분로화(shunt)함으로써 중앙부분이 더 이상 정화되지 못하게 한다. 만약 NF3같은 플루오라이드 가스를 사용하여 챔버를 정화한다면, 금속 표면은 다양한 알루미늄 플루오라이드를 형성하기 위하여 정화공정 동안 에칭 가스와 반응하고, 알루미늄 플루오라이드는 여전히 정화되지 않은 다른 잔류물 또는 증착물을 포함하는 다양한 챔버 표면에 재증착한다. 이러한 알루미늄 플루오라이드는 매우 느리게 에칭되거나 실제적으로 에칭되지 않으므로(특히 유도성 커플링된 플라즈마에서)그 밑의 선행 종래증착물(예를 들어 실리콘 이산화물)을 차폐한다. 정화공정 동안 알루미늄 플루오라이드의 증착은 남아있는 잔류물(예를 들어, 실리콘 이산화물)의 제거를 막으므로, 반응로 챔버는 챔버 정화공정의 시간을 연장하여도 완전히 정화될 수 없다.
관련 문제점은 일부 챔버 유전체 표면(예를 들면, 석영표면)이, 특히 유도성 커플링된 플라즈마에서 챔버 정화공정동안 빠르게 소모되므로 종종 큰 비용을 들여야 함이 중요한 단점이라는 것이다.
본 발명은, 진공챔버; 진공챔버, 가스 주입구 및 진공펌프 저부 근처의 웨이퍼 유지 받침대; 챔버의 상부에 있는 전체적으로 편평한 디스크 모양의 천장 전극; 천장전극에 인접한 나선형 코일 안테나; 진공 챔버 둘레와 전체적으로 일치하는 기저부; 및 (a) 각각의 RF 전원, (b) 접지부 또는 (c) 부동 전위(즉, 연결되지 않은)중 하나에 코일안테나, 천장 전극 및 웨이퍼 받침대 각각을 연결하는 스위치를 갖는 플라즈마 반응로를 작동하는 방법에 있어서, 웨이퍼 유지 받침대로부터 웨이퍼를 제거하고 가스 주입구를 통해 챔버내로 에칭 가스를 도입하면서 진공펌프로 챔버에서 진공을 생성하고, 진공 챔버에서 주로 용량성 커플링된 플라즈마를 생성하기 위해서 초기에는 코일 안테나에 RF 전압 인가를 억제하면서 천장 전극에 RF 전압을 인가하도록 스위치를 작동하는, 챔버 정화단계를 포함하는 방법으로 실현된다.
본 발명은 또한 진공챔버; 진공챔버, 가스 주입구 및 진공펌프 저부 근처의 웨이퍼 유지 받침대; 챔버의 상부에 있는 전체적으로 편평한 디스크 모양의 도전성 천장 전극; 천장전극에 인접한 나선형 코일 안테나; 진공 챔버 둘레와 전체적으로 일치하는 기저부; 및 (a) 각각의 RF 전원, (b) 접지부 또는 (c) 부동 전위중 하나에 코일 안테나, 천장 전극 및 웨이퍼 받침대 각각을 연결하는 스위치를 갖는 플라즈마 반응로를 작동하는 방법에 있어서, 반응로가 유도성 커플링 모드에서 동작될 때마다, 천장 전극이 접지될 동안 코일 안테나에 RF 전력을 인가하기 위해서 스위치를 작동시키고, 반응로가 용량성 커플링 모드에서 동작할 때마다 천장전극에 RF 전력을 인가하기 위해서 스위치를 작동하고, 반응로가 정화될 때마다 진공챔버에 에칭 가스를 도입하는동안 천장 전극 및 코일 안테나에 RF 전력을 인가함으로써 반응로를 정화하는 단계를 포함하는 방법으로 실현된다.
본 발명은 또한, 진공챔버; 진공챔버, 가스 주입구 및 진공펌프 저부 근처에 웨이퍼 유지 받침대; 챔버의 상부에 있는 전체적으로 편평한 디스크 모양의 도전성 천장 전극; 천장 전극에 인접한 나선형 코일 안테나; 진공챔버 둘레와 전체적으로 일치하는 기저부; 및 (a) 각각의 RF 전원, (b) 접지부 또는 (c) 부동 전위 중 하나에 코일 안테나, 천장 전극 및 웨이퍼 받침대 각각을 연결하는 스위치를 갖는 플라즈마 반응로를 작동하는 방법에 있어서, 천장 전극이 접지되어 있는 동안 코일 안테나에 RF 전력을 인가하기 위해서 스위치를 작동함으로써 유도성 커플링된 플라즈마를 유지시키는 동안 챔버내로 증착 선구 가스(deposition precusor gas)를 도입함으로써 받침위의 웨이퍼 상에서 화학증착을 수행하고, 천장전극에 RF 전력을 인가하기 위해서 스위치를 작동함으로써 챔버에 용량성 커플링된 플라즈마에 유지시키는 동안 챔버내로 선구 정화가스(precusor clearing gas)를 도입함으로써 반응로를 정화하는 단계를 포함하는 방법으로 실현된다.
한 양상에서, 본 발명은 천장의 중앙부의 편평한 전극을 둘러싼 천장의 측부에 반구형 모양의 나선형으로 감겨진 안테나를 갖는 플라즈마 반응로 챔버를 사용한다. 챔버의 저부에서 RF 에너지를 코일 안테나, 천장 전극 및 웨이퍼 받침대에 개별적으로 접속하기 위한 수단이 제공되어 천장 전극, 코일 안테나 및 웨이퍼 받침대 중 하나 또는 조합이 RF 에너지를 수용하거나 또는 접지되거나 또는 연결되지 않을 수 있다(부동). 코일 안테나는 요구하는 플라즈마 유형에 따라 유도성 커플링 또는 용량성 커플링 또는 이들 모두를 제공하고, 천장 전극은 용량성 커플링을 제공하고, 동시에 에너지가 가해질 때, 천장 전극 및 코일 안테나는 함께 반응로 챔버 내부의 플라즈마에 조합된 용량성 및 유도성 커플링을 제공한다. CVD 처리과정 동안, 코일 안테나는 RF 에너지를 수용하여, 천장 전극이 접지되고 RF 바이어스 전압이 웨이퍼 받침대에 적용될 동안 유도성 커플링된 플라즈마를 제공한다. 챔버정화 공정동안, 웨이퍼 받침대가 전기적으로 부동되고(웨이퍼 받침대 및 연합된 하드웨어에의 손상을 피하기 위하여) 코일 안테나가 접지되거나 부동되는 동안 RF 에너지는 용량성 커플링된 플라즈마를 생성하기 위해 천장 전극에 인가된다. 일단 용량성 커플링된 플라즈마가 점화되면, RF 에너지가 코일 안테나에 인가되어 플라즈마가 보다 높은 플라즈마 밀도로 유지될 수 있으며, 따라서 추가의 화합물 및 열을 제공하여 실리콘 이산화물 및 유사성분의 제거를 향상시킨다.
또 하나의 태양에서, RF 전력은 비생산("더미(dummy)")웨이퍼가 받침대에 고정되어 있는 동안, RF전력을 웨이퍼 받침대에 적용하여 용량성 커플링된 플라즈마를 생성한다. 이 태양에서, 상부 전극은 바람직하게 접지되거나 이에 RF 전력이 인가된다. 이 방법은 또한 유도성 커플링된 플라즈마를 사용하여 적용할 수 있다. 천장 전극은 바람직하게 챔버 정화 속도를 증가시키기 위하여 거의 260 내지 270℃의 온도로 가열된다.
용량성 플라즈마는 I) 천장 전극에만 RF 전력을 인가하고, ii) 동시에 천장전극 및 코일에 전력을 인가하고, iii) 동시에 천장 전극, 코일 및 웨이퍼 받침대에 RF 전력을 인가함으로써 점화될 수 있다. 이들 세 개의 상이한 모드는 각각 특이한 장점을 제공한다. 전력을 천장 전극에 인가하는 것은 접지된 표면 및 천장 표면을 빠르게 정화시킨다. 전력을 천장 전극 및 코일 양쪽에 인가하는 것은 향상된 플루오라이드 분리 및 보다 높은 플라즈마 온도 때문에 금속 표면을 빠르게 정화시키면서 유전체 표면의 정화를 향상시킨다. RF 전력을 천장 전극, 코일 및 웨이퍼 받침대에 동시에 인가하는 것은 플라즈마에 추가의 접지 경로(들)를 제공함으로써 금속 챔버 벽 표면의 스퍼터링을 감소시킨다. 따라서, 상기 세 개의 모드(i), (ii), (iii)중 하나의 선택은 챔버내의 증착된 잔류 산화물의 분포에 따라 이루어질 수 있다. "더미" 웨이퍼는 에칭으로부터 받침대 표면을 보호하기 위하여 챔버정화공정 동안 웨이퍼 받침대에 고정될 수 있다. 이것은 상기 모드(iii)에서 작동할 때 특히 바람직하다.
유도성 커플링된 플라즈마 또는 용량성 커플링된 플라즈마 중 어느 하나를 점화하기 위하여 천장 전극을 반드시 접지시킬 필요는 없다.
유도성 커플링된 플라즈마의 경우, RF 전력은 특정 압력 수준(통상적으로 인가되는 RF 전력량에 따라 30 내지 300 밀리토르)을 유지할 동안 코일에 인가되어 플라즈마를 점화시킨다. 일단 플라즈마가 점화되면, 압력은 플라즈마를 유지하기 위해 30 밀리토르 미만으로 줄일 수 있다.
[반응로 구조의 설명]
제1도를 참조하여, 바람직한 실시예의 플라즈마 반응로는 진공 챔버(10), 진공펌프(12), 및 분사 노즐(14)을 지지하는 금속 하우징(housing)(18)안의 가스공급링 매니폴드(gas supply ring manifold)(16)에 연결된 가스 분사 노즐(14)을 가진다. 챔버(10)는 편평한 디스크(24)를 둘러싸는 부분 돔형 또는 반구형의 환상부(22)로 구성된 천장(20)을 가진다. 돔형의 환상부(22)는 석영이나 세라믹 같은 절연체이다. 돔형의 환상부(22)는 나선형으로 감겨진 RF 안테나(26)를 지지하고, RF 안테나의 한쪽 끝은 스위치(30)에 의해 축전기를 통해 접지가능하고 RF 안테나의 다른쪽 끝은 스위치(34)를 통해 RF 전원(32)에 연결가능하다. 길이를 포함하여, 나선형으로 감겨진 RF 안테나(26)의 세부적인 구조는 페어베른 및 노워크의 상기 언급된 미합중국 특허출원에 개시되어 있고, 그 개시내용은 본원에 참조로 인용되어 있다. 예를 들면, RF 전원(32)은 RF 발전기 및 통상적인 RF 매칭(matching) 회로망(도시되지 않음)을 포함한다.
천장(24)은 스위치(38)를 통해 RF 전원(36)에 연결가능하거나 또는 접지가능 한 전도체이다. 챔버의 저부(10)는 폴리실리콘 또는 알루미늄으로 도핑될 수 있는 제거가능한 접지된 도전성 저부 환상 고리 또는 라이너(linear), 및 반도체 웨이퍼(45)를 고정하기 위한 웨이퍼 받침대(44)를 포함한다. 바람직하게는 웨이퍼(45)의 상부 표면과 동일 평면상의 유전체 환상부(44a)는 받침대(44)위의 웨이퍼(45)를 둘러싼다. 웨이퍼 받침대(44)는 정전기 척(chuck), 냉각 유체 채널, 및 본 발명에 중요하지 않은 다른 일반적인 특징을 포함할 수도 있다. 도전성 환형 고리(40)는 천장전극(24)과 거의 동일한 표면적의 챔버 내부를 향하는 볼록형상의 내부 표면(40a)을 갖는다. 이러한 특징은 용량성 방전을 용이하게 하고 플라즈마 쪽으로 챔버 저부 접지표면을 노출시킨다. 결과적으로, RF 전원이 천장 전극(24)에 인가되고 환형 고리(40)가 접지될 때, 이들 둘은 거의 같은 속도로 에칭되며, 이는 중요한 장점이다. 제거 가능한 고리 환형 라이너(40)는 주기적인 유지 및 챔버 저부 정화에 유리하다.
본원에서 전술한 대로, 편평한 천장 전극(콜린 등의 앞서 참조된 유럽출원에서 예시된 유형같이)은 불균일하게 오염물질(예를 들면 실리콘 이산화물)이 축적되는 경향이 있다. 편평한 천장을 가진 에칭 반응로의 경우에, 증착물은 바이어스되지 않은 저온의 표면(즉 천장 전극의 바깥 가장자리)에 더 많이 증착되기 쉬운 중합체로 주로 구성된다. 편평한 천장을 가진 CVD 반응로의 경우에, 대부분의 산화 증착물은 웨이퍼를 가로질러 천정 전극의 중앙에 위치된다. 이것은 웨이퍼 받침대의 RF 바이어스에 의해 유도된 증착 과정의 스퍼터링 성분 때문이다. 결과적으로, CVD 반응로에서, 천장 전극의 바깥 부분은 플라즈마 방전 모드에 상관없이 챔버가 정화되는 동안 초기에 정화된다. 이런 문제를 극복하기 위하여, 본 발명의 반응로(제1도)의 천장 전극(24)을 측벽의 지름보다 작은 크기로 감소시킴으로써(그러나 바람직하게는 웨이퍼 받침대(44)보다는 약간 큰), 천장 전극의 일부가 제거되면 축적되는 잔류물의 두께가 얇아지고 에칭되거나 오버클리닝(overcleaning) 된다.
관련 이점은 저부 알루미늄 라니어(40) 및 석영 돔형 환상부(22)의 완만하게 오목한 표면은 챔버 정화작용동안 달리 정화되기에 어려울 수 있는 챔버내부에서 날카로운 모서리를 제거한다는 것이다.
바람직하게, 챔버(10)의 물질은 챔버 정화작용동안 달리 알루미늄 플루오라이드의 형성에 기여할 수 있는 금속 표면은 제거하거나 최소화하도록 선택된다. 특히, 천장 전극(24) 및 저부 환형 고리(40)는 둘다 실리콘일 수 있는데, 예를 들면, 폴리실리콘은 센티미터당 약 0.2 오옴 미만의 저항성으로 도핑된다. 폴리 실리콘의 유리한 점은, 챔버 정화(에칭) 공정동안 제거되는 실리콘이 휘발성이어서 챔버(10)로부터 쉽게 제거된다는 것이다. 챔버 정화공정 동안 알루미늄 플루오라이드를 형성하는 가능성을 더욱 줄이기 위하여, 가스 주입 노즐(14)은 산화 알루미늄 또는 질화 알루미늄 같은 세라믹 물질이다. 게다가, 석영, 폴리실리콘, 실리콘 또는 세라믹으로 된 스커트(46)는 금속체(18)를 감싼다. 게다가 금속체(18)는 챔버 정화 공정동안 아킹(arcing)의 가능성을 줄이기 위하여 접지된다. 따라서, 바람직한 태양에서 챔부 내부에 노출된 금속 표면을 실제적으로 없다. 웨이퍼 받침대(44)는 챔버 정화공정동안 거의 에칭(또는 알루미늄 플루오라이드의 형성)이 일어나지 않도록 챔버정화 공정동안 바람직하게는 어떠한 전위에도 연결되지 않는다(즉, 전기적으로 부동임).
웨이퍼 받침대(44)는 스위치(52)에 의해 접지가능하거나, RF 원(50)에 연결가능하거나, 또는 전기적으로 절연될 수 있다.
제1도의 반응로의 한가지 장점은 천장 전극(24)이 챔버(10)중에 고압 용량성 커플링된 플라즈마를 생성함과 동시에 또는 그 후에 코일 안테나(26)에 에너지를 가할 수 있다는 것이다. 이런 점에서 코일 안테나(26)에 에너지를 가하는 것의 유리한 점은 용량성 커플링된 플라즈마를 유지하는 효과적인 수단을 제공하고 석영환상부(22)로부터 잔류물을 에칭하기 위해서 석영 환상부(22) 근처의 플라즈마에 일정량의 유도성 및/또는 용량성 커플링을 제공하는 것이다. 이 커플링 모드는 순수한 저압의 유도성 커플링된 플라즈마 모드보다 작은 비율을 환상부(22)의 석영물질을 에칭시키는 것이 중요한 장점이다. 게다가, 코일 안테나(26)에 의해 제공된 유도성 커플링은 챔버(10)에서 추가의 열을 생성한다. 고온은 챔버(10)에서 천장 전극(24) 및 다른 위치로부터 실리콘을 이산화물의 제거를 크게 증진시킨다. 천장 전극(24)을 감싸는 뚜껑(56)은 제1도에서 도시된 것처럼 챔버의 내부 도처에 작동하는 냉각 재킷(cooling jacket)(58)을 갖기 때문에 천장 자체를 열원보다는 방열체로서 작용함을 주목해야 한다. 그러나 천장 전극의 정화 속도는, 예를 들어, 천장 전극의 온도를 260 내지 270℃로 상승시키기 위하여 저항성 가열기(60) 같은 외부의 열원을 사용함으로써 가속화할 수 있다.
제1도 반응로의 한가지 바람직한 수행방법에서, 석영 환상부(22)의 내부 표면(22)의 곡률 반지름(R1)은 325cm(13")이고, 저부 링(40)의 내부 표면 곡률 반지름은 250cm(9.5"-10")이고, 천장전극(24)의 지름(D)은 225cm(9")이고, 웨이퍼 받침대(44)의 지름은 200cm(8")이고, 받침대(44)로부터의 천장 전극(24)의 높이는 112cm(4.5")이다. 그러나, 본 발명은 어떤 특정 설계에 제한되지는 않는다.
[반응로의 작동방법]
다른 면에서, 본 발명은 제1도의 다중모드 커플링된 플라즈마 반응로를 사용하는 특정 방법에 의해 실현된다. 기본적으로, 제1도의 플라즈마 반응로는 압력, 화학작용 및 인가된 RF 전력량에 따라, 3개의 가능한 플라즈마 커플링 모드, 즉(1) 주로 유도성 커플링된 플라즈마, (2) 주로 용량성 커플링된 플라즈마, 및 (3) 동시에 유도성 및 용량성 커플링된 것의 혼합물을 갖는다.
받침대(44)에 고정된 웨이퍼에, 예를 들어, 실리콘 이산화물의 화학증착을 수행하기 위하여, 반응로는 유도성 커플링된 플라즈마를 제공하도록 제1모드에서 작동된다. 실란 같은 실리콘 선구 가스는 주입 노즐(14)을 사용하여 산소-포함 가스와 함께 챔버(10) 내로 도입된다. 폄프 밸브(12a)는 100 밀리토르 이하오 챔버 압력을 유지하도록 위치된다. 천장 전극(24)은 300KHz 내지 14MHz(바람직하게는 2.0MHz)에서 1000 내지 5000 와트의 RF 에너지가 RF 원(32)으로부터 코일 안테나(26)에 인가되는 동안 접지된다. 콜린 등의 상기 참조된 출원 및 페어베른 및 노워크의 상기 참조된 출원에 개시된 기술에 따라, 웨이퍼 받침대는 접지되거나 부동화될 수 있거나, 또는 RF 원(50)으로부터 RF 바이어스 전압이 받침대에 인가되어 받침대(44)에 고정된 반도체 웨이퍼(45)에 적당한 플라즈마 시이드(sheath) 전압이 발생될 수 있다. 일부 경우에, 유도성 커플링된 플라즈마를 형성하기 위하여 천장전극을 접지하는 것이 필요하지 않을 수 있다.
8인치 지름의 웨이퍼(예를 들어, 25 내지 30 리터들이)를 고정할 수 있는 용적의 챔버에 대하여 챔버 정화작용을 수행하기 위하여, 챔버 압력은 분당 0.5 내지 3리터의 플루오라이드-포함 가스(예를 들어, NF3, CF4, C2F6등) 및 산소가 챔버(10)로 펌핑되는 동안 0.5 내지 5 토르로 설정된다. 웨이퍼 받침대는 연결되지 않고 스위치(52)에 의해 전기적으로 부동화되며, 코일 안테나는 300KHz 내지 14MHz(바람직하게는 1.8MHz)에서 1000 내지 5000 와트의 RF 에너지가 스위치(38)를 통해 RF 원(36)에 의하여 천장 전극에 인가될 동안 초기에는 연결되지 않거나 또는 스위치(30, 34)에 의해 접지된다. 일단 플라즈마가 생성되면, 코일 안테나(26)는 다음 3가지 목적을 위하여 스위치(34)에 의해 RF 원(32)에 연결될 수 있다: (1) 코일 안테나(26)에 의해 제공된 용량성 커플링의 소형 부품은 이미 생성된 용량성 커플링된 플라즈마를 효과적으로 유지하고, (2) 석영 환상부(22)에 코일 안테나(26)가 근접함으로써 환상부(22)로부터 잔류물 또는 증착물을 에칭 또는 정화할 수 있으며, (3) 코일 안테나(26)의 유도성 커플링은 챔버(10)에서 열을 생성하고, 이것은 내부 챔버 표면으로부터 받침대 주변의 천장 전극(24) 및 석영물질 같은 산화 실리콘 잔류물의 제거를 용이하게 한다.
순수한 용량성 커플링 공정과 함께 스퍼터링 또는 에칭 공정을 수행하기 위하여, 반응로는 코일 안테나가 연결되지 않거나 접지되어 있는 경우를 제외하고는 전술한 것처럼 작동시킨다. 용량성 커플링 모드는 50 볼트 정도의 플라즈마 시이드(sheath) 전압을 특징으로 하기 때문에 훨씬 더 빠른 에칭 속도를 제공하는 반면, 유도성 커플링 모드는 단지 5 볼트 정도의 플라즈마 시이드 전압을 특징으로 한다.
상기한 챔버 정화공정에 따라, 흡착된 불소 원자는 챔버 내부로부터 제거해야 한다. 이를 위하여, 수소 가스(또는 실란 같은 수소-포함 가스)가 NF3가스를 대신하는 경우를 제외하고는, 수소-포함 플라즈마는 챔버 정화공정과 관련하여 기술한 것과 동일한 방식으로 챔버(10)에서 생성된다.
용량성 방전 모드는 정화하고나 하는 표면 근처에서 시트를 가로질러 고전압이 강하하기 때문에 챔버 정화작용에 있어 더 유리하다. 이로 인해 표면에서 충돌하는 이온의 보다 높은 에너지가 생기므로써 산화 실리콘 결합이 쉽게 파괴된다. 그러나, 반응로는 용량성 또는 유도성 커플링으로 정화될 수 있다. 반응로의 다른 구역에서의 정화 속도의 조화는 적당한 정화방법 선택에 의해 이루어질 수 있다. 사실상, 유도성 모드 플라즈마 정화 단계에 이어 용량성 모든 플라즈마 정화 단계로 구성된 2단계 정화 공정을 사용한다. 선택적으로, 이 두 단계의 순서는 바뀔수도 있다.
용량성 정화 모드에서 압력은 챔버 벽의 과도한 스퍼터링을 방지하기 위하여 비교적 높아야만 한다(0.5 토르보다 큼). 낮은 압력에서, 플라즈마 커플링은 주로 유도성이며 최소의 벽면 바이어스 전압이 생긴다. 그러나, 유도성 방전 모드는 일반적으로 높은 플라즈마 온도를 수반한다. 용량성 커플링된 플라즈마는 전극 정화에 매우 효율적이다. 위에서 기술된 일반적으로 용량성 커플링된 플라즈마의 유도성 증진으로 인해 용량성 방전에 의해 직접 바이어스되지 않은 돔형의 석영 및 챔버의 다른 구역이 정화된다.
웨이퍼 받침대는 바이어스, 접지 또는 부동상태가 될 수 있다. 이는 잔류물을 받침대로부터 제거하거나, 또는 선택적으로 오버클리닝 및 손상으로부터 받침대를 보호하기 위하여 받침대의 전위를 제어할 수 있는 것이 유리하다.
위에서 기술된 챔버 정화 공정의 중요한 이점은 주어진 반응로 온도에서 정화공정이 페어베른 및 노워크의 상기 참조된 출원에 기술된 유형의 유도성 커플링 된 고밀도 플라즈마 반응로를 위한 정화공정보다 5 내지 10배 빠르다는 것이다.
지금까지 본 발명을 별도의 RF 원이 천장 전극(24) 및 코일 안테나(26)에 장착된 바람직한 태양에 관하여 기술하였지만, 코일 안테나 및 천장 전극은 양쪽이 동시에 RF 에너지를 수용해야될 때에는 공통의 RF 원에 함께 연결될 수 있다.
제1도의 반응로는 사용하는 다른 방법도 유용하다. 천장 전극(24) 및 코일 안테나(26)에 인가된 RF 전력의 비를 조절하여, 제1도의 반응로는 10 밀리토르미만에서 15 토르까지 넓은 압력 범위에서 작동할 수 있으며, 저압은 주로 유도성 커플링에 유리하고, 고압은 주로 용량성 커플링된 플라즈마에 유리하다.
지금까지 본 발명을 바람직한 태양을 참조하여 상세히 기술하였지만, 본 발명의 요지 및 범위내에서 변화 및 변경이 이루어질 수 있음을 알아야 한다.

Claims (23)

  1. 진공챔버; 상기 진공챔버, 가스 주입구, 및 진공펌프의 저부 근처에 웨이퍼를 지지할 수 있는 웨이퍼 유지 받침대; 상기 챔버의 상부에 있는 전체적으로 편평한 도전성 천장 전극; 상기 천장 전극과 상기 웨이퍼 유지 받침대 사이의 공간 주위에 인접하여 위치된 코일 안테나; 및 (a) 각각의 RF 전원, (b) 접지부, (c) 부동 전위 중 하나 이상에 상기 코일 안테나, 상기 천장 전극 및 상기 웨이퍼 받침대 각각을 개별적으로 연결하기 위한 스위치를 갖는 플라즈마 반응로의 작동방법에 있어서, 에칭 가스를 상기 가스 주입구를 통해 챔버안으로 도입하면서 상기 진공 펌프로 챔버에 진공을 생성시키는 단계; 및 상기 스위치를 작동시켜 상기 천장 전극에 RF 에너지를 인가하는 단계를 포함하는 챔버 정화(cleaning) 공정을 포함하는 플라즈마 반응로 작동방법.
  2. 제1항에 있어서, 상기 스위치 작동단계가, 상기 진공 챔버에서 주로 용량성 커플링된 플라즈마를 점화하기 위해서, 적어도 초기에 상기 웨이퍼 받침대에 RF 에너지를 인가하는 것을 억제할 동안 수행되는 플라즈마 반응로 작동방법.
  3. 제1항에 있어서, 상기 플라즈마가 생성된 후에 RF 에너지를 상기 코일 안테나에 인가하는 단계를 추가로 포함하는 플라즈마 반응로 작동방법.
  4. 제2항에 있어서, 상기 억제단계가, 상기 웨이퍼 받침대의 전기적 전위를 부동하도록 상기 웨이퍼 받침대를 전기적으로 절연시키는 단계를 포함하는 플라즈마 반응로 작동방법.
  5. 제1항에 있어서, 상기 진공이 약 0.5 내지 10 토르의 범위인 플라즈마 반응로 작동방법.
  6. 제1항에 있어서, 상기 RF 에너지가 약 300KHz 내지 14MHz 의 주파수에서 약 1000 내지 3000 와트의 전력량을 특징으로 하는 플라즈마 반응로 작동방법.
  7. 제1항에 있어서, 상기 에칭가스가 질소 플루오라이드, 실리콘 플루오라이드 및 탄소 플루오라이드를 포함하는 에칭가스의 종류이고, 상기 챔버내로의 상기 에칭가스의 유량이 분당이 약 0.5 내지 3 리터인 플라즈마 반응로 작동방법.
  8. 제3항에 있어서, 상기 코일 안테나에 인가된 RF 에너지가 약 1000 내지 5000 와트 정도의 전력량 및 약 300KHz 내지 14MHz 의 주파수를 특징으로 하는 플라즈마 반응로 작동방법.
  9. 제1항에 있어서, 상기 챔버로부터 상기 에칭가스를 제거하고 수소 플라즈마를 형성하기 위해서 챔버내로 수소-포함 가스를 도입하여, 상기 챔버에서 불소 잔류물을 제거하는 단계를 추가로 포함하는 플라즈마 반응로 작동방법.
  10. 제1항에 있어서, 상기 챔버로부터 에칭가스를 제거하고 처리할 웨이퍼를 상기 웨이퍼 받침대로 위치시키는 단계; 선택된 처리 진공 수준으로 진공을 변화시킬 동안 상기 진공챔버로 적어도 선택된 처리가스를 도입하는 단계; 상기 천장전극을 접지하고, RF 에너지를 상기 코일 안테나에 인가하고, 유도성 커플링된 플라즈마를 형성하기 위해서 웨이퍼 받침대에 바이어스 전압을 가하도록 스위치를 작동하는 단계를 포함하는, 상기 챔버 정화공정의 완성시에 수행되는 웨이퍼 처리공정을 추가로 포함하는 플라즈마 반응로 작동방법.
  11. 제10항에 있어서, 상기 웨이퍼 처리공정이 화학증착 처리를 포함하고 상기 선택된 처리가스가 상기 웨이퍼에 증착하고자 하는 물질의 선구가스인 플라즈마 반응로 작동방법.
  12. 제11항에 있어서, 상기 선택된 처리 진공 수준이 약 100 밀리토르 이하 정도인 플라즈마 반응로 작동방법.
  13. 제11항에 있어서, 상기 코일 안테나에 인가된 RF 에너지가 약 300KHz 내지 14MHz의 주파수에서 약 1000 내지 5000 와트의 전력량을 특징으로 하는 플라즈마 반응로 작동방법.
  14. 제1항에 있어서, 상기 챔버로부터 에칭가스를 제거하고 처리할 웨이퍼를 웨이퍼 받침대로 위치시키는 단계; 선택된 처리 진공수준으로 진공을 변화시킬 동안 상기 진공 챔버로 적어도 선택된 처리가스를 도입하는 단계; 상기 코일 안테나에 접지하고, RF 에너지를 상기 천장 전극에 인가하고 유도성 커플링된 플라즈마를 형성하기 위해서 웨이퍼 받침대에 바이어스 전압을 가하도록 스위치를 작동하는 단계를 포함하는, 상기 챔버 정화공정의 완성시에 수행되는 웨이퍼 처리 공정을 추가로 포함하는 플라즈마 반응로 작동방법.
  15. 제14항에 있어서, 상기 선택된 처리 진공수준이 약 0.5 내지 10 토르의 범위인 플라즈마 반응로 작동방법.
  16. 제14항에 있어서, 상기 천정 전극에 인가된 RF 에너지가 300KHz 내지 14MHz 의 주파수에서 약 1000 내지 3000 와트의 전력량을 특징으로 하는 플라즈마 반응로 작동방법.
  17. 진공챔버; 상기 진공챔버, 가스 주입구 및 진공펌프 저부 근처의 웨이퍼 유지 받침대; 상기 챔버의 상부에 있는 전체적으로 편평한 디스크 모양의 도전성 천장 전극; 상기 천장 전극과 상기 웨이퍼 유지 받침대 사이의 공간 주위에 감겨진 코일 안테나; 및 (a) 각각의 RF 전원, (b) 접지부, (c) 부동전위 중 하나 이상에 상기 코일 안테나, 상기 천장 전극 및 웨이퍼 받침대 각각을 개별적으로 연결하기 위한 스위치를 갖는 플라즈마 반응로의 작동방법에 있어서, 상기 방법이, 상기 반응로를 유도성 커플링 모드에서 작동시키고자 하는 경우에는, 천장전극이 접지될 동안 코일 안테나에 RF 전력을 인가하도록 상기 스위치를 작동시키는 단계; 및 상기 반응로를 용량성 커플링 모드에 작동시키고자 하는 경우에는, 상기 천장 전극에 RF 전력을 인가하도록 상기 스위치를 작동시키는 단계; 및 상기 반응로를 정화하고자 하는 경우에는, 에칭가스를 진공챔버에 도입할 동안 상기 천장전극 및 상기 코일 안테나에 RF 전력을 인가함으로써 반응로를 정화하는 단계를 포함하는 플라즈마 반응로 작동방법.
  18. 제17항에 있어서, 정화단계 동안 상기 받침대가 에칭되지 않도록 보호하기 위해서 상기 웨이퍼 유지 받침대의 전위를 부동시키는 단계를 추가로 포함하는 플라즈마 반응로 작동방법.
  19. 제17항에 있어서, 상기 받침대 근처의 증착물 제거를 향상시키고자 상기 웨이퍼 유지 받침대에 전위를 인가하는 단계를 추가로 포함하는 플라즈마 작동방법.
  20. 진공챔버; 상기 진공챔버, 가스 주입구 및 진공펌프 저부 근처의 웨이퍼 유지 받침대; 상기 챔버의 상부에 있는 전체적으로 편평한 판 모양의 도전성 천장전극; 상기 천장전극과 상기 웨이퍼 유지 받침대 사이의 공간 주위에 위치된 코일 안테나; 및 (a) 각각의 RF 전원, (b) 접지부, (c) 부동전위 중 하나 이상에 상기 코일 안테나, 천장전극 및 웨이퍼 받침대 각각을 개별적으로 연결하기 위한 스위치를 갖는 플라즈마 화학증착 반응로 작동방법에 있어서, 상기 방법이, 상기 천장 전극이 접지될 동안 코일 안테나에 RF 전력을 인가하도록 스위치를 작동함으로써 유도성 커플링된 플라즈마를 유지하는 동안, 챔버에 증착 선구가스를 도입함으로써 상기 받침대의 웨이퍼상에 화학증착을 수행하는 단계; 및 상기 천장전극에 RF 전력을 인가하도록 스위치를 작동함으로써 챔버에 용량성 커플링된 플라즈마를 유지하는 동안, 챔버내로 선구 정화가스를 도입함으로써 반응로를 정화하는 단계를 포함하는 플라즈마 반응로 작동방법.
  21. 제20항에 있어서, 상기 정화단계가 상기 코일 안테나에 RF 전력을 인가하는 단계를 추가로 포함하는 플라즈마 반응로 작동방법.
  22. 제20항에 있어서, 상기 정화단계 동안 받침대를 에칭되지 않도록 보호하기 위해서 웨이퍼 유지 받침대의 전위를 부동시키는 단계를 추가로 포함하는 플라즈마 반응로 작동방법.
  23. 제20항에 있어서, 상기 받침대 근처의 증착물 제거를 향상시키고자 상기 웨이퍼 유지 받침대에 전위를 인가하는 단계를 추가로 포함하는 플라즈마 반응로 작동방법.
KR1019950010291A 1994-04-28 1995-04-28 유도성 및 용량성 커플링이 조합된 고밀도 플라즈마 cvd 반응로 작동방법 KR100240534B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US23473994A 1994-04-28 1994-04-28
US8/234739 1994-04-28

Publications (2)

Publication Number Publication Date
KR950034551A KR950034551A (ko) 1995-12-28
KR100240534B1 true KR100240534B1 (ko) 2000-01-15

Family

ID=22882602

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950010291A KR100240534B1 (ko) 1994-04-28 1995-04-28 유도성 및 용량성 커플링이 조합된 고밀도 플라즈마 cvd 반응로 작동방법

Country Status (6)

Country Link
US (1) US6465051B1 (ko)
EP (1) EP0680072B1 (ko)
JP (1) JP3792267B2 (ko)
KR (1) KR100240534B1 (ko)
AT (1) ATE251798T1 (ko)
DE (1) DE69531880T2 (ko)

Families Citing this family (204)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
EP0777258A3 (en) * 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5817534A (en) * 1995-12-04 1998-10-06 Applied Materials, Inc. RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6200412B1 (en) * 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5811356A (en) * 1996-08-19 1998-09-22 Applied Materials, Inc. Reduction in mobile ion and metal contamination by varying season time and bias RF power during chamber cleaning
US6308654B1 (en) 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6013584A (en) * 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
TW386250B (en) * 1997-04-04 2000-04-01 Applied Materials Inc Method and apparatus for reducing the first wafer effect
GB9711273D0 (en) * 1997-06-03 1997-07-30 Trikon Equip Ltd Electrostatic chucks
US6176978B1 (en) 1997-08-18 2001-01-23 Applied Materials, Inc. Pasting layer formation method for high density plasma deposition chambers
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6165375A (en) * 1997-09-23 2000-12-26 Cypress Semiconductor Corporation Plasma etching method
CA2249094A1 (en) * 1997-10-02 1999-04-02 Samsung Electronics Co., Ltd. Method of manufacturing optical waveguide device using inductively coupled plasma system
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6090705A (en) * 1998-01-20 2000-07-18 Tokyo Electron Limited Method of eliminating edge effect in chemical vapor deposition of a metal
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JPH11297673A (ja) 1998-04-15 1999-10-29 Hitachi Ltd プラズマ処理装置及びクリーニング方法
US6235213B1 (en) 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
US6277759B1 (en) * 1998-08-27 2001-08-21 Micron Technology, Inc. Plasma etching methods
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6518190B1 (en) * 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
KR100704591B1 (ko) * 2000-03-21 2007-04-09 주성엔지니어링(주) Cvd 장치 및 그 내부 세정방법
EP1274876A2 (en) * 2000-04-03 2003-01-15 Applied Materials, Inc. Cleaning of a plasma processing system silicon roof
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
SG89396A1 (en) * 2000-05-12 2002-06-18 Applied Materials Inc Gas reactions to eliminate contaminates in a cvd chamber
AU2001259557A1 (en) * 2000-05-12 2001-11-26 Tokyo Electron Limited Method of adjusting the thickness of an electrode in a plasma processing system
EP1154038A1 (en) * 2000-05-12 2001-11-14 Applied Materials, Inc. Method of conditioning a chamber for chemical vapor deposition
US7011039B1 (en) 2000-07-07 2006-03-14 Applied Materials, Inc. Multi-purpose processing chamber with removable chamber liner
KR100391063B1 (ko) * 2000-11-06 2003-07-16 주식회사 플라즈마트 유도결합으로 보강된 축전결합형 플라즈마 발생장치 및플라즈마 발생방법
US20020170678A1 (en) * 2001-05-18 2002-11-21 Toshio Hayashi Plasma processing apparatus
JP3729103B2 (ja) * 2001-08-28 2005-12-21 株式会社村田製作所 圧電装置、ラダー型フィルタ及び圧電装置の製造方法
US6589611B1 (en) * 2002-08-22 2003-07-08 Micron Technology, Inc. Deposition and chamber treatment methods
KR100457844B1 (ko) * 2002-08-27 2004-11-18 삼성전자주식회사 반도체 장치의 식각 방법
US6992011B2 (en) * 2003-01-15 2006-01-31 Tokyo Electron Limited Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
CN100463112C (zh) * 2003-05-30 2009-02-18 周星工程股份有限公司 一种用于半导体装置的设备
KR100527047B1 (ko) * 2003-07-01 2005-11-09 주식회사 아이피에스 박막증착방법
US7102562B2 (en) * 2004-03-22 2006-09-05 Motorola, Inc. Radio frequency anechoic chamber with improved test stand
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US7182880B2 (en) * 2004-03-30 2007-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Process for reducing particle formation during etching
KR100611665B1 (ko) 2004-11-23 2006-08-10 삼성에스디아이 주식회사 유도결합 플라즈마 화학기상증착 장치
EP1689216A1 (en) * 2005-02-04 2006-08-09 Vlaamse Instelling Voor Technologisch Onderzoek (Vito) Atmospheric-pressure plasma jet
US8187416B2 (en) * 2005-05-20 2012-05-29 Applied Materials, Inc. Interior antenna for substrate processing chamber
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
KR100720988B1 (ko) * 2006-03-10 2007-05-28 위순임 매설된 유도 안테나를 구비하는 플라즈마 처리 챔버
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
JP5298430B2 (ja) * 2007-01-11 2013-09-25 住友電気工業株式会社 半導体加熱装置
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7605008B2 (en) * 2007-04-02 2009-10-20 Applied Materials, Inc. Plasma ignition and complete faraday shielding of capacitive coupling for an inductively-coupled plasma
US8435379B2 (en) 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
KR101418438B1 (ko) * 2007-07-10 2014-07-14 삼성전자주식회사 플라즈마 발생장치
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
JP5211332B2 (ja) * 2008-07-01 2013-06-12 株式会社ユーテック プラズマcvd装置、dlc膜及び薄膜の製造方法
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
CN102197714A (zh) * 2008-10-21 2011-09-21 应用材料股份有限公司 清洁腔室及工艺所用的等离子体源
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20130112669A1 (en) * 2011-11-08 2013-05-09 Takashi Uemura Heat treatment apparatus
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9088085B2 (en) 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
RU2529633C1 (ru) * 2013-03-27 2014-09-27 Общество с ограниченной ответственностью "ЭСТО-Вакуум" Устройство для плазмохимического травления
US9570263B2 (en) * 2013-06-11 2017-02-14 Supergrid Institute Sas Vacuum switching assembly
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
KR101649947B1 (ko) * 2014-07-08 2016-08-23 피에스케이 주식회사 이중 플라즈마 소스를 이용한 플라즈마 생성 장치 및 그를 포함하는 기판 처리 장치
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
GB201502453D0 (en) * 2015-02-13 2015-04-01 Spts Technologies Ltd Plasma producing apparatus
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
WO2018204500A1 (en) * 2017-05-02 2018-11-08 Tokyo Electron Limited Manufacturing methods to reduce surface particle impurities after a plasma process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI697261B (zh) * 2018-05-22 2020-06-21 呈睿國際股份有限公司 感應耦合電漿蝕刻系統及其切換式匹配裝置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20200258718A1 (en) * 2019-02-07 2020-08-13 Mattson Technology, Inc. Gas Supply With Angled Injectors In Plasma Processing Apparatus
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
US11499223B2 (en) * 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795880A (en) * 1986-09-11 1989-01-03 Hayes James A Low pressure chemical vapor deposition furnace plasma clean apparatus
KR900007687B1 (ko) 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
GB8629634D0 (en) 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
DE3738352A1 (de) 1987-11-11 1989-05-24 Technics Plasma Gmbh Filamentloses magnetron-ionenstrahlsystem
DE68924413T2 (de) 1989-01-25 1996-05-02 Ibm Radiofrequenzinduktion/Mehrpolplasma-Bearbeitungsvorrichtung.
GB8905075D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5149931A (en) * 1989-04-11 1992-09-22 Mitsubishi Denki K.K. Power source for electric discharge machining
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
WO1991010341A1 (en) * 1990-01-04 1991-07-11 Savas Stephen E A low frequency inductive rf plasma reactor
WO1992020833A1 (en) 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
EP0552491B1 (en) * 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor

Also Published As

Publication number Publication date
US6465051B1 (en) 2002-10-15
ATE251798T1 (de) 2003-10-15
EP0680072B1 (en) 2003-10-08
JPH0892748A (ja) 1996-04-09
KR950034551A (ko) 1995-12-28
EP0680072A3 (en) 1998-12-09
JP3792267B2 (ja) 2006-07-05
DE69531880T2 (de) 2004-09-09
EP0680072A2 (en) 1995-11-02
DE69531880D1 (de) 2003-11-13

Similar Documents

Publication Publication Date Title
KR100240534B1 (ko) 유도성 및 용량성 커플링이 조합된 고밀도 플라즈마 cvd 반응로 작동방법
US5865896A (en) High density plasma CVD reactor with combined inductive and capacitive coupling
JP3141929B2 (ja) 基板処理装置及び化学蒸着装置洗浄方法
US5879575A (en) Self-cleaning plasma processing reactor
KR101342319B1 (ko) 플라즈마 에칭 챔버를 위한 통합된 용량성 전원과 유도성 전원
KR100284571B1 (ko) 세라믹 라이닝을 이용하여 cvd챔버 내의 잔류물 축적을 감소시키는 장치 및 방법
US5812362A (en) Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
KR101336479B1 (ko) 플라즈마 프로세싱 챔버의 선택적 프리-코트를 위한 방법 및 장치
US5006192A (en) Apparatus for producing semiconductor devices
US6239553B1 (en) RF plasma source for material processing
KR100260712B1 (ko) 높은 선택비로 질화물상의 산화물을 에칭하는 방법
US7754997B2 (en) Apparatus and method to confine plasma and reduce flow resistance in a plasma
EP1573795B1 (en) A system and method for controlling plasma with an adjustable coupling to ground circuit
EP1840937B1 (en) Plasma processing apparatus and plasma processing method
US20060043067A1 (en) Yttria insulator ring for use inside a plasma chamber
US20120145679A1 (en) Plasma processing apparatus and plasma processing method
KR20080094794A (ko) 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기
JPH09106898A (ja) プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
KR101472149B1 (ko) 웨이퍼 엣지 처리 방법 및 장치
KR20020070255A (ko) 플라즈마 에칭 챔버에 대한 다단계 세정
KR101480738B1 (ko) 환형 배플
JPH07161702A (ja) 酸化物のプラズマエッチング方法
US6306244B1 (en) Apparatus for reducing polymer deposition on substrate support
US20040127033A1 (en) Plasma processing device and plasma processing method
US6435197B2 (en) Method of cleaning a semiconductor fabricating apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120927

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20130927

Year of fee payment: 15

LAPS Lapse due to unpaid annual fee