JP3792267B2 - 誘導結合モードと静電結合モードとを併用する高密度プラズマcvdリアクタの操作方法 - Google Patents

誘導結合モードと静電結合モードとを併用する高密度プラズマcvdリアクタの操作方法 Download PDF

Info

Publication number
JP3792267B2
JP3792267B2 JP10613895A JP10613895A JP3792267B2 JP 3792267 B2 JP3792267 B2 JP 3792267B2 JP 10613895 A JP10613895 A JP 10613895A JP 10613895 A JP10613895 A JP 10613895A JP 3792267 B2 JP3792267 B2 JP 3792267B2
Authority
JP
Japan
Prior art keywords
chamber
wafer
switch
coil antenna
sealing electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP10613895A
Other languages
English (en)
Other versions
JPH0892748A (ja
Inventor
サヒン ターガット
シー. レデカー フレッド
ノワック ロムアルド
リー シジアン
ディアー ティモゼイ
アール. ウイッティ デレック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH0892748A publication Critical patent/JPH0892748A/ja
Application granted granted Critical
Publication of JP3792267B2 publication Critical patent/JP3792267B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Metallurgy (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
  • Plasma Technology (AREA)

Description

【0001】
【産業上の利用分野】
本発明は、個別に、或いは、チャンバ浄化操作のために組み合わせて選択可能な誘導結合モードと静電結合モードとに対応した高密度プラズマCVDリアクタの操作方法に関する。
【0002】
【従来の技術】
化学的気相成長(CVD)、エッチング、リアクティブイオンエッチング等の、半導体の加工に使用されるプラズマリアクタは、典型的には、誘導結合か静電結合を用いてプラズマを発生、維持する。静電結合プラズマの高イオンエネルギー特性が、例えば、二酸化珪素等の薄膜の除去に適しているので、エッチングリアクタでは、典型的には、静電結合を採用している。この高イオンエネルギーは、静電結合プラズマを発生させるのに必要とされる高電界から発生する。例えば、コリンズ(Collins)等によって出願され、1993年7月28日に公開された、「プラズマエッチング加工(Plasma Etch Process)」と題する欧州特許出願公開第0552491号の開示によれば、静電結合リアクターは並列電極を有し、ペデスタルを備える。
【0003】
しかし、静電結合プラズマのプラズマ密度は、低圧で化学的気相成長(CVD)とその場でのスパッタリングとを同時に達成するには充分ではない。従って、フェアベーン(Fairbairn)とノワック(Nowak)とにより1993年8月27日に出願された、「高密度プラズマCVDとエッチングリアクター(High Density Plasma CVD and EtchingReactor)」と題する米国特許出願第08/113,776号に開示されているタイプのCVDプラズマリアクターは、誘導結合を採用してプラズマを発生、維持している。フェアベーンとノワックとの上記出願に係わる発明では、ドーム形チャンバのシーリング内のドーム形螺旋コイルにより、プラズマへの静電結合を低チャンバ圧(100ミリトール未満)で達成しており、このドーム形状が、半導体ウェーハ全体に渡ってより一層均一なイオン密度分布を提供するもとになっている。かかる静電結合プラズマは、高イオン密度を特徴とし、それ故、高加工(例えば、成長)速度での低圧CVDプラズマ加工に適している。
【0004】
静電結合プラズマと誘導結合プラズマとの主要な差異は次の通りである。誘導結合プラズマは最高2桁高いプラズマ密度を有し、それによって高加工速度をもたらしている。静電結合プラズマは、高い電極シート電圧、高いセルフバイアス及び高いプラズマインピーダンスを有し、それ故に高スパッタ速度とウェーハへの大きな損傷の危険性とをもたらす。誘導結合プラズマは低いイオンエネルギー分布を示し、ウェーハへの損傷の危険性は低い。
【0005】
全てのプラズマリアクタ、特にプラズマCVDリアクタ、の一要件は、それらを定期的に浄化して、付着物と残さとを除去しなければならないことである。例えば、CVDリアクタで、半導体ウェーハ上への二酸化珪素の堆積の間に、二酸化珪素は反応チャンバの他部分と同様にチャンバ壁とシーリングとにも付着して、チャンバの特性を変え、微粒子汚染を高める。それ故、反応チャンバは、定期的に浄化して、かかる付着物と残さとを全て除去する必要がある。二酸化珪素質付着物の除去は、NF3 (例えば)のようなフッ素含有エッチングガスをチャンバへ導入し、プラズマを発生させてチャンバ浄化操作を行わせることにより達成されている。
【0006】
【発明が解決しようとする課題】
誘導結合プラズマリアクタの一つの限界は、その大容積、低操作圧、チャンバ壁面のバイアス不足のために、チャンバ浄化操作を行うのに多くの時間を要することである。二酸化珪素のような特定な残さは、低いイオンエネルギで比較的遅いエッチ速度持つのが典型的であり、それ故、誘導結合プラズマによって除去するのが困難なことがある。
【0007】
かかるチャンバ浄化操作に伴う1つの問題は、反応チャンバの様々な面が、チャンバ内での位置の違い、材質の相違とにより同一速度で浄化(エッチング)されないことである。プラズマ密度とイオンエネルギーとは、チャンバ内の全ての位置で同一であることはないので、浄化(エッチング)速度の相違は象徴的なものである。更に、ウェーハ加工(例えば、CVD加工)の間に、付着物がチャンバの特定の面に他より厚く堆積し、付着物或いは残さの厚さがチャンバ内部で不均一となる。例えば、コリンズ等の前記欧州特許出願のエッチングリアクタにおいて、エッチング加工の残さは、プラズマ密度の不均一のために、シーリングの中央においてシーリングの端よりはるかに厚い。
【0008】
その結果、ある金属面では他より早く残さ或いは付着物が完全に除去され、この浄化金属面は、残留汚染物が他の未だ不浄な面から除去されている最中にエッチングされる。例えば、コリンズ等の欧州出願に係わる平形シーリング電極の場合には、このシーリング電極の端は、その中央部分が未だ厚い残留皮膜を有している間に素早く浄化される。電極端部のむき出しの金属面は、RFエネルギーを、未だ不浄な中央部分から分路させ、これにより、中央部分のそれ以上の浄化を妨げる。NF3 等のフッ化物ガスをチャンバ浄化に用いると、金属面が浄化操作中にエッチングガスと反応して様々なフッ化アルミニウムを形成し、これが、未だ不浄の面を含め、チャンバの様々な面に他の残さ或いは付着物と共に再付着する。かかるフッ化アルミニウム類は、非常にゆっくりとエッチングされるか、或いは、エッチングを実質上受け入れず(特に、誘導結合プラズマにおいて)、かくて、その下の先行付着物(例えば、二酸化珪素)を遮蔽することになる。浄化操作中のフッ化アルミニウム類のこの付着のために、残さ(例えば、二酸化珪素)の除去が妨げられ、チャンバ浄化操作時間を延長しても反応チャンバを完全には浄化不能となる。
【0009】
これに関連した問題点は、チャンバ浄化操作中にチャンバのある誘電体面(例えば、石英面)が急速に消耗し、これは誘電結合プラズマで顕著であり、従って、多大な費用をかけて頻繁に交換する必要があり、重大な欠点となっている。
【0010】
【課題を解決するための手段および作用】
本発明は、真空チャンバ;この真空チャンバの底部近くのウェーハ保持用ペデスタル;ガス注入口と真空ポンプ;チャンバの頂部の、一般的に平円盤形の導電性シーリング電極;シーリング電極と、真空チャンバの周囲に一般的に一致した基部とに隣接した螺旋コイルアンテナ;及び、コイルアンテナ、シーリング電極、ウェーハペデスタルの各々を、(a)各RF電源、(b)グランド、或いは、(c)フロート電位(即ち、未接続)の各々に個別に接続するためのスイッチを備えるプラズマリアクタの操作方法であり、ウェーハ保持用ペデスタルから全てのウェーハを除去し、ガス注入口からチャンバ内にエッチングガスを導入しながら真空ポンプでチャンバ内を真空とし、次いで、真空チャンバ内に主として静電結合プラズマを発生させるために、当初はコイルへのRFエネルギー供給を控えながらシーリング電極にRFエネルギーを加えるようにスイッチ操作するチャンバ浄化操作を含む方法として具体化される。
【0011】
本発明は又、真空チャンバ;この真空チャンバの底部近くのウェーハ保持用ペデスタル;ガス注入口と真空ポンプ;チャンバの頂部の、一般的に平円盤形の導電性シーリング電極;シーリング電極と、真空チャンバの周囲に一般的に一致した基部とに隣接した螺旋コイル;及び、コイルアンテナ、シーリング電極、ウェーハペデスタルの各々を、(a)各RF電源、(b)グランド、或いは、(c)フロート電位の各々に個別に接続するためのスイッチを備えるプラズマリアクタの操作方法であり、リアクタを誘導結合モードで操作しようとするときには常に、シーリング電極を接地させながら、コイルアンテナにPF電力を印加するためにスイッチを操作し、一方、リアクタを静電結合モードで操作しようとするときには常に、シーリング電極にRF電力を印加するためにスイッチを操作し、又、リアクタを浄化しようとするときには常に、真空チェンバにエッチングガスを導入しながら、シーリング電極とコイルアンテナとにRF電力を印加することを含む方法としても具体化される。
【0012】
本発明は更に、真空チャンバ;この真空チャンバの底部近くのウェーハ保持用ペデスタル;ガス注入口と真空ポンプ;チャンバの頂部の、一般的に平円盤形の導電性シーリング電極;シーリング電極と、真空チャンバの周囲に一般的に一致した基部とに隣接した螺旋コイル;及び、コイルアンテナ、シーリング電極、ウェーハペデスタルの各々を、(a)各RF電源、(b)グランド、或いは、(c)フロート電位の各々に個別に接続するためのスイッチを備える化学的気相成長リアクタの操作方法であり、シーリング電極を接地させながらコイルアンテナにRF電力を印加するようにスイッチ操作することによりチャンバ内に誘導結合プラズマを維持しながらチャンバ内に成長前駆体ガスを導入することによってペデスタル内のウエーハ上での化学的気相成長を行い、又、シーリング電極にRF電力を印加するためにスイッチ操作することによりチャンバ内に静電結合プラズマを維持しながらチャンバ内に前駆体浄化ガスを導入することによってリアクタを浄化することを含む方法としても具体化される。
【0013】
【実施例】
概観
一態様において、本発明は、そのシーリングの中央部内の平面電極を囲むシーリング側部内のドーム形螺旋コイルアンテナを有するプラズマリアクタチャンバを用いる。コイルアンテナと、シーリング電極と、チャンバ底部のウェーハペデスタルとにRFエネルギーを別々に結合させるための手段が提供され、これにより、シーリング電極とコイルアンテナとウェーハペデスタルとのうちのいずれか一つまたは組合せがRFエネルギーを受け取り、接地され、或いは未接続(フロート)とすることが可能とされている。このコイルアンテナは、必要とされるプラズマのタイプに依存して誘導結合或いは静電結合、或いは両者を提供し、シーリング電極は静電結合を提供し、又、同時に通電されたときには、シーリング電極とコイルアンテナとは一体となって、反応チャンバ内のプラズマに静電結合と誘導結合との組合せを提供する。CVD加工中は、コイルアンテナはRFエネルギを受け取って静電結合プラズマを提供し、この間、シーリング電極は接地され、RFバイアスがウェーハペデスタルに印加される。チャンバ浄化操作中は、RFエネルギがシーリング電極に印加されて静電結合プラズマを発生し、この間、ウェーハペデスタルは電気的にフロートが可能となり(ウェーハペデスタルと関連ハードウェアとへの損傷を避けるためである)、コイルアンテナは接地されるかフロート可能とされる。静電結合プラズマが一旦点火されると、RFエネルギがコイルアンテナに印加されてプラズマを高プラズマ密度に維持することが可能となり、従って追加の化学種と熱とを提供して二酸化珪素及びそれと同様の化合物の除去が可能となる。
【0014】
別態様では、非生産用(ダミー)ウェーハをペデスタル上に保持させながらRF電力をウェーハペデスタルに印加して静電結合プラズマを発生させて、チャンバを浄化する。この態様では、頂部電極は接地されているか、それにRF電力が印加されていることが好ましい。この方法は、誘導結合プラズマを使用しても適用可能である。シーリング電極は、約260〜270℃の温度に迄加熱してチャンバ浄化速度を高めることが好ましい。
【0015】
静電プラズマは、(i)シーリング電極のみへのRF電力の印加、(ii)シーリング電極とコイルとへの電力の同時印加、及び、(iii)シーリング電極とコイルとウェーハペデスタルとへのRF電力の同時印加、により点火可能である。これら3つの異なるモードの各々が独自の利点を提供する。電力をシーリング電極に印加すると、接地面とシーリング面との浄化が速くなる。電力をシーリング電極とコイルとに印加すると、金属面を高速浄化しながら誘電体面の浄化か促進されるが、これは、フッ素解離の増加と高プラズマ温度とによるものである。シーリング電極とコイルとウェーハペデスタルとに同時にRF電力を印加すると、プラズマへの別途の接地路を提供することにより金属性のチャンバ壁面のスパッタリングが減少する。即ち、上記の3つのモード(i)、(ii)及び(iii)のうちの1つの選択は、チャンバ内の付着酸化物残さの分布に依存して可能となる。「ダミー」ウェーハを、チャンバ浄化操作中、ウェーハペデスタル上に載置することにより、ペデスタル面をエッチングから保護することが可能である。これは、上記(iii)のモードで操作するときに特に好ましい。
【0016】
誘導結合プラズマ又は静電結合プラズマに点火するためには、シーリング電極を接地することは必ずしも必要ではない。
【0017】
誘導結合プラズマに対しては、一定の圧力レベル(典型的には30〜300ミリトールであり、印加されるRF電力レベルに依存する)を維持しながらRF電力をコイルに印加してプラズマに点火する。プラズマが一旦点火すれば、圧力を30ミリトール未満に下げてもプラズマは維持できる。
【0018】
リアクタ構造の説明
図1を参照すると、好ましい態様のプラズマリアクタは、真空チャンバ10;真空ポンプ12;及び、ガス注入ノズル14を支持するメタルハウジング18内のガス供給環形マニホールド16に接続されたガス注入ノズル14;を備える。チャンバ10は、シーリング電極24を囲む部分的にドーム形状の即ち半球状の環部22からなるシーリング20を有する。このドーム形環部22は石英やセラミック等の絶縁体である。このドーム形環部22が、その一端がコンデンサを経てスイッチ30によりグランドに接続可能であり、他端がスイッチ34によりRF源32に接続可能である螺旋コイルRFアンテナ26を支えている。螺旋コイルRFアンテナ26の、長さを含む構造の詳細は、フェアベーンとノワックとの前記米国特許出願に開示されており、その開示内容は、参照により、本明細書に含められているものとする。例えば、RF源32は、RF発生装置と通常のRF適合ネットワーク(図示されていない)とを備える。
シーリング電極24は、スイッチ38によりRf源36かグランドに接続可能の導体である。チャンバ10の底部は、ドープポリシリコン又はアルミニウムとすることが可能な着脱式電導性の底環部即ちライナー40と、半導体ウェーハ45を保持するためのウェーハペデスタル44とを備える。ウエーハ45の上面と共面の誘電体環部44aで、ペデスタル44上のウェーハ45を囲むことが好ましい。ウエーハペデステル44には、静電チャク、冷却液流路、そして、本発明にとっては必須ではない他の通常の側面を備えることができる。導電性環状リング40は、シーリング電極24とほぼ同一の面積を有するチャンバ10の内部に面する凸レンズ状に湾曲した内面40aを有する。この特徴により静電放電が促進され、チャンバ底部の接地面がプラズマに暴露される。その結果、RF源がシーリング電極24に印加され、環状リング40が接地されるときには、両者はほぼ同一速度でエッチングされることになり、これは重大な利点である。この着脱式環状リングであるライナー40は、定期的な保守とチャンバ底部浄化とに有益である。
【0019】
前記通り、平形シーリング電極(例えば、コリン等の前記欧州特許出願に示されているタイプ)は、汚染物(例えば、二酸化珪素)を不均一に堆積する傾向がある。平形シーリングをしたエッチングリアクタの場合、付着物は主として、より低温のバイアスされていない面、即ち、シーリング電極の外側端、に多く付着する傾向があるポリマーから主として構成される。平形シーリングをしたエッチングリアクタの場合、付着酸化物の大部分は、シーリング電極の中央に、ウェーハと向かい合った状態で位置する。これは、ウェーハペデスタルのRFバイアスによって誘発される成長加工のためのスパッタ成分に起因する。従って、CVDリアクタでは、プラズマ放電モードの如何に拘わらず、チャンバ浄化中はまずシーリング電極の外側部分が浄化される。この問題を解消するためには、本発明のリアクタ(図1)のシーリング電極を側壁の直径より小さいサイズ(ウェーハペデスタルより若干大きいことが好ましい)として、シーリング電極部分が他部位より厚い残さを蓄積し、従ってエッチング即ち過剰浄化を必要とする傾向をなくす。
【0020】
これに関連した利点は、底部のアルミニウムライナー40と石英製ドーム形環部22との穏やかに湾曲した凹面により、チャンバ浄化操作中の浄化を困難とするチャンバ内部の鋭い角部がないことである。
【0021】
チャンバ10の材質を選択して、チャンバ浄化操作中のフッ化アルミニウム類の形成に寄与する金属面を最小化ないし除去することが好ましい。特定すると、シーリング電極24と底部の環状リング40とは共にシリコン、例えば、約0.2オーム/cm未満の抵抗になる迄ドープされたポリシリコン、とすることができる。ポリシリコンの利点は、チャンバの浄化(エッチング)操作中にそこから除去されるシリコンが揮発性であり、チャンバ10から容易にポンプ送りされる点である。チャンバ浄化操作中にフッ化アルミニウム類が形成される可能性を更に下げるためには、ガス噴射ノズル14は、酸化アルミニウム、窒化アルミニウム等のセラミック材とする。更に、石英、ポリシリコン、シリコン又はセラミック製の円形支持体46で金属体18を被覆する。更に、この金属体18を接地して、チャンバ浄化操作中のアーク発生の可能性を減らす。従って、この好ましい態様においては、チャンバ内部にむき出しとされた金属面は実質上存在しない。チャンバ浄化操作中は、ウェーハペデスタル44はいずれの電位にも未接続とする(即ち、電気的にフロートさせる)ことが好ましく、これにより、チャンバ浄化操作中にそのエッチング(即ち、それからのフッ化アルミニウム類の形成)はほとんど発生しなくなる。
【0022】
ウェーハペデスタル44は、スイッチ52によって、グランドかRF源50に接続可能であり、又、電気的に絶縁可能である。
【0023】
図1のリアクタの一利点は、シーリング電極24によりチャンバ10内に高圧静電結合プラズマが発生すると同時に或いはその後にコイルアンテナ26に通電できることである。この時点でコイルアンテナ26に通電する利点は、それが静電結合プラズマを維持するのに効率的な手段となり、又、石英製環部22から残さをエッチング除去可能とするために、石英製環部22近くのプラズマに一定量の誘導及び/又は静電結合力を提供することである。この結合モードにより、環部22の石英材が、純粋な低圧誘導結合プラズマモードによるよりも遅い速度でエッチングされることとなり、これは重要な利点である。更に、コイルアンテナ26により提供される誘電結合により、チャンバ10内に別の熱が発生する。高温は、チャンバ10内のシーリング電極24その他の部位からの二酸化珪素の除去を大いに促進する。図1に示される通り、シーリング電極24を覆う蓋56がその内部を通る冷却ジャケット58を有するので、シーリング自体は熱源ではなくヒートシンクの役目を果たす点に留意されたい。しかし、シーリング電極の浄化速度は、抵抗加熱装置60のような外部熱源を使用してシーリング電極の温度を、例えば、260〜270℃に上昇させることにより加速可能である。
【0024】
図1のリアクタの一つの好ましい具体例では、石英製環部22の内面22aの曲率半径R1 は325cm(13インチ)、底部リング40の内面の曲率半径は250cm(9.5〜10インチ)、シーリング電極24の直径Dは225cm(9インチ)、ウェーハペデスタル44の直径は200cm(8インチ) 、シーリング電極の、ペデスタル44からの高さは112cm(4.5インチ)であった。しかし、本発明はいかなる特定の設計形状に限定されるものではない。
【0025】
リアクタの操作方法
本発明は、別の態様において、図1の多モード結合プラズマリアクタの特定の使用方法により具体化される。基本的に図1のプラズマリアクタは、加圧方式と、化学と、印加されるRF電力レベルとに依存して、3つの可能性あるプラズマ結合モードである(1)主に誘導結合プラズマ、(2)主に静電結合プラズマ、(3)誘導結合と静電結合との両者の同時混成、に対応している。
【0026】
例えば、ペデスタル44上に保持されたウェーハ45上に二酸化珪素の化学的気相成長を達成するためには、リアクタを上記第一モードで操作して静電結合プラズマを提供する。シラン等のシリコン先駆物質を、シラン用の注入ノズル14を使用して、酸素含有ガスと共にチャンバ10に導入する。ポンプ弁12aを配置して、100ミリトール以下のチャンバ圧を維持する。300kHz〜14MHz(好ましくは2.0MHz)の1000〜5000ワットのRFエネルギをRF源32からコイルアンテナ26に印加している間は、シーリング電極24は接地される。コリン等の前記出願及び、フェアベーンとノワックとの前記出願に開示されている技術により、ウェーハスペデストルは接地させてもフロートさせてもよく、又、RF源50からのRFバイアスをペデスタル44に印加して、ペデスタル44上に保持された半導体ウェーハに適当なプラズマシース電圧を発生させることが可能である。場合によっては、誘導結合プラズマの発生にシーリング電極の接地は必要でないことがある。
【0027】
20cm(8インチ)直径のウェーハを保持可能の容積(例えば、25〜30リットル)のチャンバに対してチャンバ浄化操作を行うためには、チャンバ圧を0.5〜5トールに設定し、この間に0.5〜3リットール/分のフッ素含有ガス(例えば、NF3 、CF4 、C2 6 等)と酸素とをチャンバ10にポンプ送りする。ウェーハペデスタルをスイッチ52により切断し、電気的にフロートさせ、コイルアンテナをスイッチ30、34によりまず切断或いは接地させ、この間、300kHz〜14MHz(好ましくは1.8MHz)の1000〜5000ワットのRFエネルギをRF源36により、スイッチ38を通じてシーリング電極に印加する。プラズマに一旦点火すると、次の3つの目的のためにコイルアンテナ26をRF源32に接続できる。(1)コイルアンテナ26により提供される小成分の静電結合により、既に発生している静電結合プラズマを効率よく維持する。(2)石英製環部22にコイルアンテナ26に近接させて、環部22からの残さ或いは付着物のエッチング或いは掃去を可能にする。(3)コイルアンテナ26の誘導結合によりチャンバ10に熱を発生させ、これにより、シーリング電極24等のチャンバ内面と、ペデスタル周囲の石英材とからの二酸化珪素残さの除去を容易にする。
【0028】
静電結合のみでスパッタリング或いはエッチング操作を行うためには、リアクタを前段落記載通りに、但し、コイルアンテナは終始切断したままか接地したままで操作する。静電結合モードの方がはるかに速いエッチ速度を提供するが、これは、同モードが約50ボルトのプラズマシース電圧を特徴とするからであり、一方、誘導結合モードは、わずか5ボルト前後のプラズマシース電圧によって特徴づけられる。
【0029】
以上に述べたチャンバ清浄操作後に、吸着されたフッ素原子をチャンバ内部から除去する必要がある。この目的のために、チャンバ浄化操作との関連で記載した方法と同一の方法で、但し、NF3 ガスを水素ガス(或いはシラン等の水素含有ガス)に代えて、水素含有プラズマをチャンバ10内に発生させる。
【0030】
静電放電モードは、浄化すべき面の近くのシートの電圧降下が大きいので、チャンバ浄化に一層好ましい。このため、前記面に衝突するイオンが高エネルギーとなり、S−O結合の破壊が容易になる。しかし、リアクタはいずれの方式でも、静電結合であれ誘導結合であれ浄化可能である。リアクタの異なる領域での浄化速度の一致は、適当な浄化方式の選択により達成可能である。事実、誘導モードプラズマ浄化工程とそれに続く静電モードプラズマ清浄工程とからなる2段階浄化法を使用できる。別法として、これら2工程の順序を逆転できる。
【0031】
静電浄化モードでは、圧力を比較的高く(0.5トールより高い)してチャンバ壁の過剰スパッタリングを防止する必要がある。低圧方式では、プラズマ結合は主として誘導モードであり、最小の壁面バイアスが生ずる。しかし、この誘導放電モードでは一般に、プラズマ温度が一層高くなる。静電結合プラズマは、電極浄化に非常に有効である。静電放電では直接にはバイアスされないチャンバの石英製ドームその他の領域の浄化を容易にするのは、一般的には静電モードの結合プラズマの誘導による強化である。
【0032】
ウェーハペデスタルは、バイアスも接地もフロートも可能である。ペデスタルを残さから浄化し、或いは、逆に、それを過剰浄化と損傷とから保護するためには、ペデスタル電位をそのようにコントロールできることが有益である。
【0033】
以上に述べたチャンバ浄化法の重要な利点は、所定リアクタ温度では、前記浄化方法が、フェアベーンとノワックとの前記出願に記載のタイプの誘導結合高密度プラズマリアクタ用の浄化方法よりも5〜10倍高速であることである。
【0034】
シーリング電極24とコイルアンテナ26とに別々の個々のRF源を提供する好ましい態様を参照しながら本発明を記載したが、コイルアンテナとシーリング電極とは、両者が同時にRFエネルギーを受け取るようにするときには常に、共通のRF源に一緒に接続できる。
【0035】
図1のリアクタの他の使用方法も有用である。シーリング電極24とコイルアンテナ26とに印加されたRF電力の比を調整することにより、図1のリアクタは10ミリトール未満から15トール迄という広い圧力域で操作でき、低圧方式は主として誘導結合プラズマに好ましく、高圧方式は主として、静電結合プラズマに好ましい。
【0036】
本発明を、好ましい態様を特に参照しながら詳細に記載したが、その変形、改良が本発明の真の精神と範囲を逸脱することなく可能であることは理解されよう。
【0037】
【発明の効果】
本発明のCVDリアクタの操作方法は、以上のように構成されるので、反応チャンバの様々な面が、チャンバ内での位置の違い、材質の相違があっても同一速度で浄化される。従って、チャンバ浄化操作中にチャンバのある誘電体面が急速に消耗し、頻繁に交換する必要性も解消される。
【図面の簡単な説明】
【図1】本発明のプラズマリアクタの概略断面立面図である。
【符号の説明】
10…真空チャンバ、12…真空ポンプ、12a…ポンプ弁、14…ガス注入ノズル、16…ガス供給環形マニホールド、18…メタルハウジング、20…シーリング、22…環部、22a…環部内面、24…シーリング電極、26…コイルアンテナ、30、34、38、52…スイッチ、32…RF源、36、50…バイアス、RF発生装置、40…環状リング、40a…湾曲内面、44…ウエーハペデステル、44a…誘電体環部、45…ウエーハ、46…円形支持体、56…蓋、58…冷却ジャケット、60…抵抗加熱装置。

Claims (19)

  1. 真空チャンバ;前記真空チャンバの底部近くの、ウェーハを支持可能なウェーハ保持用ペデスタル;ガス注入口と真空ポンプ;前記チャンバの頂部の、一般的に平形の導電性シーリング電極;前記シーリング電極と前記ウェーハ保持用ペデスタルとの間の空間の周囲に配置されたコイルアンテナ;及び、前記コイルアンテナ、前記シーリング電極、前記ウェーハペデスタルの各々を、(a)第1のRF電源、(b)グランド、(c)フロート電位、(d)第2のRF電源のうちの少なくとも1つに個々に接続するためのスイッチを備えるプラズマリアクタの操作方法において:
    前記真空ポンプを備えた前記チャンバ内で静電結合プラズマ処理の圧力レベルを形成すると同時に前記ガス注入口を通って前記チャンバ内にエッチングガスを導入する工程と;
    前記シーリング電極を前記第1のRF電源に接続することにより前記シーリング電極にRFエネルギーを印加するように、前記スイッチのうちの第1スイッチを操作することにより前記チャンバ内で静電結合プラズマを形成する工程と;
    最初に、前記スイッチの第2スイッチを操作し、前記コイルアンテナを(a)前記グランド、(b)前記フロート電位の一つに接続する工程と;
    前記静電結合プラズマが形成された後、前記スイッチの前記第2スイッチを操作し、前記コイルアンテナを前記第2のRF電源に接続することにより、前記コイルアンテナにRFエネルギーを印加する工程と;
    を含む方法。
  2. 少なくとも最初に、前記スイッチの第3スイッチを操作し、前記ウェーハペデスタルを(a)グランド、(b)前記フロート電位の一つに接続する、請求項1記載の方法。
  3. 前記スイッチの第3スイッチは、前記ウェーハペデスタルを第3のRF電源に接続することができ、前記方法は、前記スイッチの前記第3スイッチを操作し、前記ペデスタルを前記第3のRF電源に接続することにより前記ウェーハペデスタルにRFエネルギーを印加する工程を更に備える、請求項1記載の方法。
  4. 前記静電結合プラズマ処理の圧力レベルは、約0.5から10トルの範囲にある、請求項1記載の方法。
  5. 前記シーリング電極に印加された前記RFエネルギーは、約300kHz〜14MHzの周波数で約1000〜3000ワットの範囲内の電力レベルを特徴とする、請求項1記載の方法。
  6. 前記エッチングガスが、フッ化窒素類、フッ化珪素類、フッ化炭素類の少なくとも一つから構成されるエッチングガス群から選択され、前記チェンバへの前記エッチングガスの流速が約0.5〜3リットル/分の範囲内にある、請求項1記載の方法。
  7. 前記コイルアンテナに印加されるRFエネルギーが、約1000〜5000ワットの電力レベルと約300kHz〜14MHzの周波数であることを特徴とする、請求項1記載の方法。
  8. 水素プラズマを発生させ、それにより前記チャンバからフッ素残さを除去するために、前記チャンバから前記エッチングガスを除去する工程と、前記チャンバに水素含有ガスを導入する工程とを更に含む、請求項6記載の方法。
  9. 前記チャンバから前記エッチングガスを除去し、前記ウェーハペデスタル上に加工対象のウェーハを置く工程;前記真空を選択された加工真空レベルに変えながら前記真空チェンバに少なくとも1種の選択された加工ガス(processing gas)を導入する工程;前記シーリング電極を接地するために前記スイッチを開き、誘導結合プラズマを発生させるために前記コイルアンテナにRFエネルギーを印加し、前記ウェーハペデスタルをバイアスする工程;を含むウェーハ加工操作を前記チャンバ浄化操作の完了時に行うことを更に含む、請求項1記載の方法。
  10. 前記ウェーハ加工操作が、化学的気相成長工程を含み、前記選択加工ガスが前記ウェーハ上に付着すべき物質の前駆体ガス(a precursor gas) である、請求項9記載の方法。
  11. 前記選択加工真空レベルが、約100ミリトール以下である、請求項10記載の方法。
  12. 前記コイルアンテナに印加される前記RFエネルギーが、約1000〜000ワットの電力レベルと約300kHz〜14MHzの周波数であることを特徴とする、請求項10記載の方法。
  13. 前記チャンバから前記エッチングガスを除去し、前記ウェーハペデスタル上に加工対象のウェーハを置く工程;
    前記真空を選択された加工真空レベルに変えながら前記真空チェンバに少なくとも1種の選択された加工ガスを導入する工程;
    前記スイッチの第2スイッチを操作し、前記コイルアンテナを接地させる工程;
    前記シーリング電極が前記第1のRF電源に接続されない場合、前記スイッチの前記第1スイッチを操作し、前記シーリング電極を前記第1のRF電源に接続することにより前記シーリング電極にRFエネルギーを印加し、それにより、静電結合プラズマを衝突させる工程;
    前記ウェーハペデスタルを第3のRF電源に接続することができる前記スイッチの第3スイッチを操作し、前記ペデスタルを前記第3のRF電源に接続することにより前記ウェーハペデスタルにRFエネルギーを印加する工程;
    を備える、請求項1記載の方法。
  14. 前記選択加工真空レベルが約0.5〜10トールの範囲内にある、請求項13記載の方法。
  15. 前記コイルアンテナに印加される前記RFエネルギーが、約1000〜3000ワットの電力レベルと約1.8MHzの周波数であることを特徴とする、請求項13記載の方法。
  16. 前記チャンバ浄化操作を加速する為に前記シーリング電極を加熱する工程を更に備える、請求項1記載の方法。
  17. 前記加熱工程は、約260〜270℃の間で前記シーリング電極を加熱することを備える、請求項16記載の方法。
  18. 前記ウェーハ保持用ペデスタル上にダミーウェーハを据え付け、前記チャンバ浄化動作中に前記ペデスタルを保護する工程を更に備える、請求項1記載の方法。
  19. 前記チャンバ内で前記圧力レベルを調整する工程と、前記第1のRF電源により前記シーリング電極に印加された第1のRF電力レベルと、前記第2のRF電源により前記コイルアンテナに印加された第2のRF電力レベルとの間の比を調整する工程と、を更に備え、前記シーリング電極の浄化性能により影響される前記チャンバの第1領域の浄化の第1速度を、前記コイルアンテナの浄化性能により影響される前記チャンバの第2領域の浄化の第2速度で調和させる、請求項1記載の方法。
JP10613895A 1994-04-28 1995-04-28 誘導結合モードと静電結合モードとを併用する高密度プラズマcvdリアクタの操作方法 Expired - Fee Related JP3792267B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US23473994A 1994-04-28 1994-04-28
US08/234739 1994-04-28

Publications (2)

Publication Number Publication Date
JPH0892748A JPH0892748A (ja) 1996-04-09
JP3792267B2 true JP3792267B2 (ja) 2006-07-05

Family

ID=22882602

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10613895A Expired - Fee Related JP3792267B2 (ja) 1994-04-28 1995-04-28 誘導結合モードと静電結合モードとを併用する高密度プラズマcvdリアクタの操作方法

Country Status (6)

Country Link
US (1) US6465051B1 (ja)
EP (1) EP0680072B1 (ja)
JP (1) JP3792267B2 (ja)
KR (1) KR100240534B1 (ja)
AT (1) ATE251798T1 (ja)
DE (1) DE69531880T2 (ja)

Families Citing this family (204)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
EP0777258A3 (en) * 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5817534A (en) * 1995-12-04 1998-10-06 Applied Materials, Inc. RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5811356A (en) * 1996-08-19 1998-09-22 Applied Materials, Inc. Reduction in mobile ion and metal contamination by varying season time and bias RF power during chamber cleaning
US6308654B1 (en) 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6013584A (en) * 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
TW386250B (en) * 1997-04-04 2000-04-01 Applied Materials Inc Method and apparatus for reducing the first wafer effect
GB9711273D0 (en) 1997-06-03 1997-07-30 Trikon Equip Ltd Electrostatic chucks
US6176978B1 (en) 1997-08-18 2001-01-23 Applied Materials, Inc. Pasting layer formation method for high density plasma deposition chambers
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6165375A (en) * 1997-09-23 2000-12-26 Cypress Semiconductor Corporation Plasma etching method
JPH11167037A (ja) * 1997-10-02 1999-06-22 Samsung Electron Co Ltd 誘導結合プラズマ装置を利用する光導波路素子製造方法
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6090705A (en) * 1998-01-20 2000-07-18 Tokyo Electron Limited Method of eliminating edge effect in chemical vapor deposition of a metal
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JPH11297673A (ja) 1998-04-15 1999-10-29 Hitachi Ltd プラズマ処理装置及びクリーニング方法
US6235213B1 (en) * 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
US6277759B1 (en) * 1998-08-27 2001-08-21 Micron Technology, Inc. Plasma etching methods
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6518190B1 (en) 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
KR100704591B1 (ko) * 2000-03-21 2007-04-09 주성엔지니어링(주) Cvd 장치 및 그 내부 세정방법
JP2003534451A (ja) * 2000-04-03 2003-11-18 アプライド マテリアルズ インコーポレイテッド プラズマ処理システムのシリコンルーフの洗浄の改良
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
KR20010104260A (ko) * 2000-05-12 2001-11-24 조셉 제이. 스위니 화학 증착챔버 내부의 오염물을 제거하기 위한 가스 반응
TW531820B (en) * 2000-05-12 2003-05-11 Tokyo Electron Ltd Method of adjusting the thickness of an electrode in a plasma processing system
KR20010104261A (ko) * 2000-05-12 2001-11-24 조셉 제이. 스위니 화학 증착을 개선하기 위한 챔버의 조절
US7011039B1 (en) 2000-07-07 2006-03-14 Applied Materials, Inc. Multi-purpose processing chamber with removable chamber liner
KR100391063B1 (ko) * 2000-11-06 2003-07-16 주식회사 플라즈마트 유도결합으로 보강된 축전결합형 플라즈마 발생장치 및플라즈마 발생방법
US20020170678A1 (en) * 2001-05-18 2002-11-21 Toshio Hayashi Plasma processing apparatus
JP3729103B2 (ja) * 2001-08-28 2005-12-21 株式会社村田製作所 圧電装置、ラダー型フィルタ及び圧電装置の製造方法
US6589611B1 (en) * 2002-08-22 2003-07-08 Micron Technology, Inc. Deposition and chamber treatment methods
KR100457844B1 (ko) * 2002-08-27 2004-11-18 삼성전자주식회사 반도체 장치의 식각 방법
US6992011B2 (en) * 2003-01-15 2006-01-31 Tokyo Electron Limited Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
CN100463112C (zh) * 2003-05-30 2009-02-18 周星工程股份有限公司 一种用于半导体装置的设备
KR100527047B1 (ko) * 2003-07-01 2005-11-09 주식회사 아이피에스 박막증착방법
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US7102562B2 (en) * 2004-03-22 2006-09-05 Motorola, Inc. Radio frequency anechoic chamber with improved test stand
US7182880B2 (en) * 2004-03-30 2007-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Process for reducing particle formation during etching
KR100611665B1 (ko) 2004-11-23 2006-08-10 삼성에스디아이 주식회사 유도결합 플라즈마 화학기상증착 장치
EP1689216A1 (en) * 2005-02-04 2006-08-09 Vlaamse Instelling Voor Technologisch Onderzoek (Vito) Atmospheric-pressure plasma jet
US8187416B2 (en) * 2005-05-20 2012-05-29 Applied Materials, Inc. Interior antenna for substrate processing chamber
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
KR100720988B1 (ko) * 2006-03-10 2007-05-28 위순임 매설된 유도 안테나를 구비하는 플라즈마 처리 챔버
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
JP5298430B2 (ja) * 2007-01-11 2013-09-25 住友電気工業株式会社 半導体加熱装置
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7605008B2 (en) * 2007-04-02 2009-10-20 Applied Materials, Inc. Plasma ignition and complete faraday shielding of capacitive coupling for an inductively-coupled plasma
US8435379B2 (en) 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
KR101418438B1 (ko) * 2007-07-10 2014-07-14 삼성전자주식회사 플라즈마 발생장치
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
JP5211332B2 (ja) * 2008-07-01 2013-06-12 株式会社ユーテック プラズマcvd装置、dlc膜及び薄膜の製造方法
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
CN102197714A (zh) * 2008-10-21 2011-09-21 应用材料股份有限公司 清洁腔室及工艺所用的等离子体源
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
KR101310851B1 (ko) * 2011-11-08 2013-09-25 가부시키가이샤 히다치 하이테크놀로지즈 열처리 장치
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9088085B2 (en) 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
RU2529633C1 (ru) * 2013-03-27 2014-09-27 Общество с ограниченной ответственностью "ЭСТО-Вакуум" Устройство для плазмохимического травления
WO2014198301A1 (en) * 2013-06-11 2014-12-18 Alstom Technology Ltd Vacuum switching assembly
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
KR101649947B1 (ko) * 2014-07-08 2016-08-23 피에스케이 주식회사 이중 플라즈마 소스를 이용한 플라즈마 생성 장치 및 그를 포함하는 기판 처리 장치
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
GB201502453D0 (en) * 2015-02-13 2015-04-01 Spts Technologies Ltd Plasma producing apparatus
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US20180323045A1 (en) * 2017-05-02 2018-11-08 Tokyo Electron Limited Manufacturing methods to reduce surface particle impurities after a plasma process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI697261B (zh) * 2018-05-22 2020-06-21 呈睿國際股份有限公司 感應耦合電漿蝕刻系統及其切換式匹配裝置
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20200258718A1 (en) * 2019-02-07 2020-08-13 Mattson Technology, Inc. Gas Supply With Angled Injectors In Plasma Processing Apparatus
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795880A (en) * 1986-09-11 1989-01-03 Hayes James A Low pressure chemical vapor deposition furnace plasma clean apparatus
KR900007687B1 (ko) 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
GB8629634D0 (en) 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
DE3738352A1 (de) 1987-11-11 1989-05-24 Technics Plasma Gmbh Filamentloses magnetron-ionenstrahlsystem
EP0379828B1 (en) 1989-01-25 1995-09-27 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
GB8905075D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5149931A (en) * 1989-04-11 1992-09-22 Mitsubishi Denki K.K. Power source for electric discharge machining
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
EP0507885B1 (en) * 1990-01-04 1997-12-03 Mattson Technology Inc. A low frequency inductive rf plasma reactor
DE69224640T2 (de) 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
JP2635267B2 (ja) * 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
EP0552491B1 (en) * 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor

Also Published As

Publication number Publication date
EP0680072A2 (en) 1995-11-02
ATE251798T1 (de) 2003-10-15
EP0680072A3 (en) 1998-12-09
US6465051B1 (en) 2002-10-15
KR950034551A (ko) 1995-12-28
DE69531880D1 (de) 2003-11-13
EP0680072B1 (en) 2003-10-08
KR100240534B1 (ko) 2000-01-15
JPH0892748A (ja) 1996-04-09
DE69531880T2 (de) 2004-09-09

Similar Documents

Publication Publication Date Title
JP3792267B2 (ja) 誘導結合モードと静電結合モードとを併用する高密度プラズマcvdリアクタの操作方法
US6220201B1 (en) High density plasma CVD reactor with combined inductive and capacitive coupling
US7585384B2 (en) Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
KR101432832B1 (ko) 유전체 스페이서 링을 갖는 에지 링 어셈블리
EP0578010B1 (en) Multi-zone plasma processing system
EP0641013B1 (en) Gas supply system for a plasma reactor
US6099747A (en) Chamber etching of plasma processing apparatus
KR101342319B1 (ko) 플라즈마 에칭 챔버를 위한 통합된 용량성 전원과 유도성 전원
TWI381440B (zh) 用以去除晶圓之斜邊與背側上之薄膜的設備及方法
JP3917176B2 (ja) プラズマ反応チャンバーをその場でマグネトロンクリーニングするための装置と方法
EP1840937B1 (en) Plasma processing apparatus and plasma processing method
US5879575A (en) Self-cleaning plasma processing reactor
US6464843B1 (en) Contamination controlling method and apparatus for a plasma processing chamber
US5824607A (en) Plasma confinement for an inductively coupled plasma reactor
US5812362A (en) Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
JPH09106898A (ja) プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
JPH08316210A (ja) プラズマ処理方法及び装置
US6306244B1 (en) Apparatus for reducing polymer deposition on substrate support
JPWO2002058125A1 (ja) プラズマ処理装置およびプラズマ処理方法
JP2797307B2 (ja) プラズマプロセス装置
US20230377855A1 (en) Lower deposition chamber ccp electrode cleaning solution
KR20010023762A (ko) 에칭 균일성 향상 장치 및 방법
US20220349050A1 (en) Method and apparatus with high conductance components for chamber cleaning
JPH06280027A (ja) プラズマ処理方法及び装置
JP2004273974A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20020130

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050705

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050922

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060307

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060405

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees