JPH0892748A - 誘導結合モードと静電結合モードとを併用する高密度プラズマcvdリアクタ - Google Patents

誘導結合モードと静電結合モードとを併用する高密度プラズマcvdリアクタ

Info

Publication number
JPH0892748A
JPH0892748A JP7106138A JP10613895A JPH0892748A JP H0892748 A JPH0892748 A JP H0892748A JP 7106138 A JP7106138 A JP 7106138A JP 10613895 A JP10613895 A JP 10613895A JP H0892748 A JPH0892748 A JP H0892748A
Authority
JP
Japan
Prior art keywords
chamber
wafer
pedestal
coil antenna
reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP7106138A
Other languages
English (en)
Other versions
JP3792267B2 (ja
Inventor
Turgut Sahin
サヒン ターガット
Fred C Redeker
シー. レデカー フレッド
Romould Nowak
ノワック ロムアルド
Shijian Li
リー シジアン
Timothy Dyer
ディアー ティモゼイ
Derek R Witty
アール. ウイッティ デレック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH0892748A publication Critical patent/JPH0892748A/ja
Application granted granted Critical
Publication of JP3792267B2 publication Critical patent/JP3792267B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Organic Chemistry (AREA)
  • Public Health (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】 (修正有) 【目的】 プラズマリアクタの内部を均一に浄化する。 【構成】 真空チャンバ内に主として静電結合プラズマ
を発生させるために、ガス注入口からチャンバにエッチ
ングガスを導入しながらチャンバ内を真空とし、コイル
アンテナにRFエネルギーを必ずしも印加していない間
にチャンバ内のシーリング電極にRFエネルギーを印加
することによりプラズマリアクタを浄化する方法として
具体化される。本発明の別の態様においては、リアクタ
を誘導結合モードで操作しようとするときには常に、シ
ーリング電極を接地させながら、リアクタのコイルアン
テナ26にPF電力を印加し、リアクタを静電結合モー
ドで操作しようとするときには常に、シーリング電極に
RF電力を印加し、リアクタを浄化しようとするときに
は常に、真空チェンバにエッチングガスを導入しなが
ら、シーリング電極とアンテナ26とにRF電力を印加
する。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、個別に、或いは、チャ
ンバ浄化操作のために組み合わせて選択可能な誘導結合
モードと静電結合モードとに対応した高密度プラズマC
VDリアクタの操作方法に関する。
【0002】
【従来の技術】化学的気相成長(CVD)、エッチン
グ、リアクティブイオンエッチング等の、半導体の加工
に使用されるプラズマリアクタは、典型的には、誘導結
合か静電結合を用いてプラズマを発生、維持する。静電
結合プラズマの高イオンエネルギー特性が、例えば、二
酸化珪素等の薄膜の除去に適しているので、エッチング
リアクタでは、典型的には、静電結合を採用している。
この高イオンエネルギーは、静電結合プラズマを発生さ
せるのに必要とされる高電界から発生する。例えば、コ
リンズ(Collins)等によって出願され、199
3年7月28日に公開された、「プラズマエッチング加
工(Plasma Etch Process)」と題
する欧州特許出願公開第0552491号の開示によれ
ば、静電結合リアクターは並列電極を有し、ペデスタル
を備える。
【0003】しかし、静電結合プラズマのプラズマ密度
は、低圧で化学的気相成長(CVD)とその場でのスパ
ッタリングとを同時に達成するには充分ではない。従っ
て、フェアベーン(Fairbairn)とノワック
(Nowak)とにより1993年8月27日に出願さ
れた、「高密度プラズマCVDとエッチングリアクター
(High Density Plasma CVD
and EtchingReactor)」と題する米
国特許出願第08/113,776号に開示されている
タイプのCVDプラズマリアクターは、誘導結合を採用
してプラズマを発生、維持している。フェアベーンとノ
ワックとの上記出願に係わる発明では、ドーム形チャン
バのシーリング内のドーム形螺旋コイルにより、プラズ
マへの静電結合を低チャンバ圧(100ミリトール未
満)で達成しており、このドーム形状が、半導体ウェー
ハ全体に渡ってより一層均一なイオン密度分布を提供す
るもとになっている。かかる静電結合プラズマは、高イ
オン密度を特徴とし、それ故、高加工(例えば、成長)
速度での低圧CVDプラズマ加工に適している。
【0004】静電結合プラズマと誘導結合プラズマとの
主要な差異は次の通りである。誘導結合プラズマは最高
2桁高いプラズマ密度を有し、それによって高加工速度
をもたらしている。静電結合プラズマは、高い電極シー
ト電圧、高いセルフバイアス及び高いプラズマインピー
ダンスを有し、それ故に高スパッタ速度とウェーハへの
大きな損傷の危険性とをもたらす。誘導結合プラズマは
低いイオンエネルギー分布を示し、ウェーハへの損傷の
危険性は低い。
【0005】全てのプラズマリアクタ、特にプラズマC
VDリアクタ、の一要件は、それらを定期的に浄化し
て、付着物と残さとを除去しなければならないことであ
る。例えば、CVDリアクタで、半導体ウェーハ上への
二酸化珪素の堆積の間に、二酸化珪素は反応チャンバの
他部分と同様にチャンバ壁とシーリングとにも付着し
て、チャンバの特性を変え、微粒子汚染を高める。それ
故、反応チャンバは、定期的に浄化して、かかる付着物
と残さとを全て除去する必要がある。二酸化珪素質付着
物の除去は、NF3 (例えば)のようなフッ素含有エッ
チングガスをチャンバへ導入し、プラズマを発生させて
チャンバ浄化操作を行わせることにより達成されてい
る。
【0006】
【発明が解決しようとする課題】誘導結合プラズマリア
クタの一つの限界は、その大容積、低操作圧、チャンバ
壁面のバイアス不足のために、チャンバ浄化操作を行う
のに多くの時間を要することである。二酸化珪素のよう
な特定な残さは、低いイオンエネルギで比較的遅いエッ
チ速度持つのが典型的であり、それ故、誘導結合プラズ
マによって除去するのが困難なことがある。
【0007】かかるチャンバ浄化操作に伴う1つの問題
は、反応チャンバの様々な面が、チャンバ内での位置の
違い、材質の相違とにより同一速度で浄化(エッチン
グ)されないことである。プラズマ密度とイオンエネル
ギーとは、チャンバ内の全ての位置で同一であることは
ないので、浄化(エッチング)速度の相違は象徴的なも
のである。更に、ウェーハ加工(例えば、CVD加工)
の間に、付着物がチャンバの特定の面に他より厚く堆積
し、付着物或いは残さの厚さがチャンバ内部で不均一と
なる。例えば、コリンズ等の前記欧州特許出願のエッチ
ングリアクタにおいて、エッチング加工の残さは、プラ
ズマ密度の不均一のために、シーリングの中央において
シーリングの端よりはるかに厚い。
【0008】その結果、ある金属面では他より早く残さ
或いは付着物が完全に除去され、この浄化金属面は、残
留汚染物が他の未だ不浄な面から除去されている最中に
エッチングされる。例えば、コリンズ等の欧州出願に係
わる平形シーリング電極の場合には、このシーリング電
極の端は、その中央部分が未だ厚い残留皮膜を有してい
る間に素早く浄化される。電極端部のむき出しの金属面
は、RFエネルギーを、未だ不浄な中央部分から分路さ
せ、これにより、中央部分のそれ以上の浄化を妨げる。
NF3 等のフッ化物ガスをチャンバ浄化に用いると、金
属面が浄化操作中にエッチングガスと反応して様々なフ
ッ化アルミニウムを形成し、これが、未だ不浄の面を含
め、チャンバの様々な面に他の残さ或いは付着物と共に
再付着する。かかるフッ化アルミニウム類は、非常にゆ
っくりとエッチングされるか、或いは、エッチングを実
質上受け入れず(特に、誘導結合プラズマにおいて)、
かくて、その下の先行付着物(例えば、二酸化珪素)を
遮蔽することになる。浄化操作中のフッ化アルミニウム
類のこの付着のために、残さ(例えば、二酸化珪素)の
除去が妨げられ、チャンバ浄化操作時間を延長しても反
応チャンバを完全には浄化不能となる。
【0009】これに関連した問題点は、チャンバ浄化操
作中にチャンバのある誘電体面(例えば、石英面)が急
速に消耗し、これは誘電結合プラズマで顕著であり、従
って、多大な費用をかけて頻繁に交換する必要があり、
重大な欠点となっている。
【0010】
【課題を解決するための手段および作用】本発明は、真
空チャンバ;この真空チャンバの底部近くのウェーハ保
持用ペデスタル;ガス注入口と真空ポンプ;チャンバの
頂部の、一般的に平円盤形の導電性シーリング電極;シ
ーリング電極と、真空チャンバの周囲に一般的に一致し
た基部とに隣接した螺旋コイルアンテナ;及び、コイル
アンテナ、シーリング電極、ウェーハペデスタルの各々
を、(a)各RF電源、(b)グランド、或いは、
(c)フロート電位(即ち、未接続)の各々に個別に接
続するためのスイッチを備えるプラズマリアクタの操作
方法であり、ウェーハ保持用ペデスタルから全てのウェ
ーハを除去し、ガス注入口からチャンバ内にエッチング
ガスを導入しながら真空ポンプでチャンバ内を真空と
し、次いで、真空チャンバ内に主として静電結合プラズ
マを発生させるために、当初はコイルへのRFエネルギ
ー供給を控えながらシーリング電極にRFエネルギーを
加えるようにスイッチ操作するチャンバ浄化操作を含む
方法として具体化される。
【0011】本発明は又、真空チャンバ;この真空チャ
ンバの底部近くのウェーハ保持用ペデスタル;ガス注入
口と真空ポンプ;チャンバの頂部の、一般的に平円盤形
の導電性シーリング電極;シーリング電極と、真空チャ
ンバの周囲に一般的に一致した基部とに隣接した螺旋コ
イル;及び、コイルアンテナ、シーリング電極、ウェー
ハペデスタルの各々を、(a)各RF電源、(b)グラ
ンド、或いは、(c)フロート電位の各々に個別に接続
するためのスイッチを備えるプラズマリアクタの操作方
法であり、リアクタを誘導結合モードで操作しようとす
るときには常に、シーリング電極を接地させながら、コ
イルアンテナにPF電力を印加するためにスイッチを操
作し、一方、リアクタを静電結合モードで操作しようと
するときには常に、シーリング電極にRF電力を印加す
るためにスイッチを操作し、又、リアクタを浄化しよう
とするときには常に、真空チェンバにエッチングガスを
導入しながら、シーリング電極とコイルアンテナとにR
F電力を印加することを含む方法としても具体化され
る。
【0012】本発明は更に、真空チャンバ;この真空チ
ャンバの底部近くのウェーハ保持用ペデスタル;ガス注
入口と真空ポンプ;チャンバの頂部の、一般的に平円盤
形の導電性シーリング電極;シーリング電極と、真空チ
ャンバの周囲に一般的に一致した基部とに隣接した螺旋
コイル;及び、コイルアンテナ、シーリング電極、ウェ
ーハペデスタルの各々を、(a)各RF電源、(b)グ
ランド、或いは、(c)フロート電位の各々に個別に接
続するためのスイッチを備える化学的気相成長リアクタ
の操作方法であり、シーリング電極を接地させながらコ
イルアンテナにRF電力を印加するようにスイッチ操作
することによりチャンバ内に誘導結合プラズマを維持し
ながらチャンバ内に成長前駆体ガスを導入することによ
ってペデスタル内のウエーハ上での化学的気相成長を行
い、又、シーリング電極にRF電力を印加するためにス
イッチ操作することによりチャンバ内に静電結合プラズ
マを維持しながらチャンバ内に前駆体浄化ガスを導入す
ることによってリアクタを浄化することを含む方法とし
ても具体化される。
【0013】
【実施例】概観 一態様において、本発明は、そのシーリングの中央部内
の平面電極を囲むシーリング側部内のドーム形螺旋コイ
ルアンテナを有するプラズマリアクタチャンバを用い
る。コイルアンテナと、シーリング電極と、チャンバ底
部のウェーハペデスタルとにRFエネルギーを別々に結
合させるための手段が提供され、これにより、シーリン
グ電極とコイルアンテナとウェーハペデスタルとのうち
のいずれか一つまたは組合せがRFエネルギーを受け取
り、接地され、或いは未接続(フロート)とすることが
可能とされている。このコイルアンテナは、必要とされ
るプラズマのタイプに依存して誘導結合或いは静電結
合、或いは両者を提供し、シーリング電極は静電結合を
提供し、又、同時に通電されたときには、シーリング電
極とコイルアンテナとは一体となって、反応チャンバ内
のプラズマに静電結合と誘導結合との組合せを提供す
る。CVD加工中は、コイルアンテナはRFエネルギを
受け取って静電結合プラズマを提供し、この間、シーリ
ング電極は接地され、RFバイアスがウェーハペデスタ
ルに印加される。チャンバ浄化操作中は、RFエネルギ
がシーリング電極に印加されて静電結合プラズマを発生
し、この間、ウェーハペデスタルは電気的にフロートが
可能となり(ウェーハペデスタルと関連ハードウェアと
への損傷を避けるためである)、コイルアンテナは接地
されるかフロート可能とされる。静電結合プラズマが一
旦点火されると、RFエネルギがコイルアンテナに印加
されてプラズマを高プラズマ密度に維持することが可能
となり、従って追加の化学種と熱とを提供して二酸化珪
素及びそれと同様の化合物の除去が可能となる。
【0014】別態様では、非生産用(ダミー)ウェーハ
をペデスタル上に保持させながらRF電力をウェーハペ
デスタルに印加して静電結合プラズマを発生させて、チ
ャンバを浄化する。この態様では、頂部電極は接地され
ているか、それにRF電力が印加されていることが好ま
しい。この方法は、誘導結合プラズマを使用しても適用
可能である。シーリング電極は、約260〜270℃の
温度に迄加熱してチャンバ浄化速度を高めることが好ま
しい。
【0015】静電プラズマは、(i)シーリング電極の
みへのRF電力の印加、(ii)シーリング電極とコイ
ルとへの電力の同時印加、及び、(iii)シーリング
電極とコイルとウェーハペデスタルとへのRF電力の同
時印加、により点火可能である。これら3つの異なるモ
ードの各々が独自の利点を提供する。電力をシーリング
電極に印加すると、接地面とシーリング面との浄化が速
くなる。電力をシーリング電極とコイルとに印加する
と、金属面を高速浄化しながら誘電体面の浄化か促進さ
れるが、これは、フッ素解離の増加と高プラズマ温度と
によるものである。シーリング電極とコイルとウェーハ
ペデスタルとに同時にRF電力を印加すると、プラズマ
への別途の接地路を提供することにより金属性のチャン
バ壁面のスパッタリングが減少する。即ち、上記の3つ
のモード(i)、(ii)及び(iii)のうちの1つ
の選択は、チャンバ内の付着酸化物残さの分布に依存し
て可能となる。「ダミー」ウェーハを、チャンバ浄化操
作中、ウェーハペデスタル上に載置することにより、ペ
デスタル面をエッチングから保護することが可能であ
る。これは、上記(iii)のモードで操作するときに
特に好ましい。
【0016】誘導結合プラズマ又は静電結合プラズマに
点火するためには、シーリング電極を接地することは必
ずしも必要ではない。
【0017】誘導結合プラズマに対しては、一定の圧力
レベル(典型的には30〜300ミリトールであり、印
加されるRF電力レベルに依存する)を維持しながらR
F電力をコイルに印加してプラズマに点火する。プラズ
マが一旦点火すれば、圧力を30ミリトール未満に下げ
てもプラズマは維持できる。
【0018】リアクタ構造の説明 図1を参照すると、好ましい態様のプラズマリアクタ
は、真空チャンバ10;真空ポンプ12;及び、ガス注
入ノズル14を支持するメタルハウジング18内のガス
供給環形マニホールド16に接続されたガス注入ノズル
14;を備える。チャンバ10は、平円盤24を囲む部
分的にドーム形状の即ち半球状の環部22からなるシー
リング20を有する。このドーム形環部22は石英やセ
ラミック等の絶縁体である。このドーム形環部22が、
その一端がコンデンサを経てスイッチ30によりグラン
ドに接続可能であり、他端がスイッチ34によりRF源
32に接続可能である螺旋コイルRFアンテナ26を支
えている。螺旋コイルRFアンテナ26の、長さを含む
構造の詳細は、フェアベーンとノワックとの前記米国特
許出願に開示されており、その開示内容は、参照によ
り、本明細書に含められているものとする。例えば、R
F源32は、RF発生装置と通常のRF適合ネットワー
ク(図示されていない)とを備える。シーリング24
は、スイッチ38によりRf源36かグランドに接続可
能の導体である。チャンバ10の底部は、ドープポリシ
リコン又はアルミニウムとすることが可能な着脱式電導
性の底環部即ちライナー40と、半導体ウェーハ45を
保持するためのウェーハペデスタル44とを備える。ウ
エーハ45の上面と共面の誘電体環部44aで、ペデス
タル44上のウェーハ45を囲むことが好ましい。ウエ
ーハペデステル44には、静電チャク、冷却液流路、そ
して、本発明にとっては必須ではない他の通常の側面を
備えることができる。導電性環状リング40は、シーリ
ング電極24とほぼ同一の面積を有するチャンバ10の
内部に面する凸レンズ状に湾曲した内面40aを有す
る。この特徴により静電放電が促進され、チャンバ底部
の接地面がプラズマに暴露される。その結果、RF源が
シーリング電極24に印加され、環状リング40が接地
されるときには、両者はほぼ同一速度でエッチングされ
ることになり、これは重大な利点である。この着脱式環
状リングであるライナー40は、定期的な保守とチャン
バ底部浄化とに有益である。
【0019】前記通り、平形シーリング電極(例えば、
コリン等の前記欧州特許出願に示されているタイプ)
は、汚染物(例えば、二酸化珪素)を不均一に堆積する
傾向がある。平形シーリングをしたエッチングリアクタ
の場合、付着物は主として、より低温のバイアスされて
いない面、即ち、シーリング電極の外側端、に多く付着
する傾向があるポリマーから主として構成される。平形
シーリングをしたエッチングリアクタの場合、付着酸化
物の大部分は、シーリング電極の中央に、ウェーハと向
かい合った状態で位置する。これは、ウェーハペデスタ
ルのRFバイアスによって誘発される成長加工のための
スパッタ成分に起因する。従って、CVDリアクタで
は、プラズマ放電モードの如何に拘わらず、チャンバ浄
化中はまずシーリング電極の外側部分が浄化される。こ
の問題を解消するためには、本発明のリアクタ(図1)
のシーリング電極を側壁の直径より小さいサイズ(ウェ
ーハペデスタルより若干大きいことが好ましい)とし
て、シーリング電極部分が他部位より厚い残さを蓄積
し、従ってエッチング即ち過剰浄化を必要とする傾向を
なくす。
【0020】これに関連した利点は、底部のアルミニウ
ムライナー40と石英製ドーム形環部22との穏やかに
湾曲した凹面により、チャンバ浄化操作中の浄化を困難
とするチャンバ内部の鋭い角部がないことである。
【0021】チャンバ10の材質を選択して、チャンバ
浄化操作中のフッ化アルミニウム類の形成に寄与する金
属面を最小化ないし除去することが好ましい。特定する
と、シーリング電極24と底部の環状リング40とは共
にシリコン、例えば、約0.2オーム/cm未満の抵抗
になる迄ドープされたポリシリコン、とすることができ
る。ポリシリコンの利点は、チャンバの浄化(エッチン
グ)操作中にそこから除去されるシリコンが揮発性であ
り、チャンバ10から容易にポンプ送りされる点であ
る。チャンバ浄化操作中にフッ化アルミニウム類が形成
される可能性を更に下げるためには、ガス噴射ノズル1
4は、酸化アルミニウム、窒化アルミニウム等のセラミ
ック材とする。更に、石英、ポリシリコン、シリコン又
はセラミック製の円形支持体46で金属体18を被覆す
る。更に、この金属体18を接地して、チャンバ浄化操
作中のアーク発生の可能性を減らす。従って、この好ま
しい態様においては、チャンバ内部にむき出しとされた
金属面は実質上存在しない。チャンバ浄化操作中は、ウ
ェーハペデスタル44はいずれの電位にも未接続とする
(即ち、電気的にフロートさせる)ことが好ましく、こ
れにより、チャンバ浄化操作中にそのエッチング(即
ち、それからのフッ化アルミニウム類の形成)はほとん
ど発生しなくなる。
【0022】ウェーハペデスタル44は、スイッチ52
によって、グランドかRF源50に接続可能であり、
又、電気的に絶縁可能である。
【0023】図1のリアクタの一利点は、シーリング電
極24によりチャンバ10内に高圧静電結合プラズマが
発生すると同時に或いはその後にコイルアンテナ26に
通電できることである。この時点でコイルアンテナ26
に通電する利点は、それが静電結合プラズマを維持する
のに効率的な手段となり、又、石英製環部22から残さ
をエッチング除去可能とするために、石英製環部22近
くのプラズマに一定量の誘導及び/又は静電結合力を提
供することである。この結合モードにより、環部22の
石英材が、純粋な低圧誘導結合プラズマモードによるよ
りも遅い速度でエッチングされることとなり、これは重
要な利点である。更に、コイルアンテナ26により提供
される誘電結合により、チャンバ10内に別の熱が発生
する。高温は、チャンバ10内のシーリング電極24そ
の他の部位からの二酸化珪素の除去を大いに促進する。
図1に示される通り、シーリング電極24を覆う蓋56
がその内部を通る冷却ジャケット58を有するので、シ
ーリング自体は熱源ではなくヒートシンクの役目を果た
す点に留意されたい。しかし、シーリング電極の浄化速
度は、抵抗加熱装置60のような外部熱源を使用してシ
ーリング電極の温度を、例えば、260〜270℃に上
昇させることにより加速可能である。
【0024】図1のリアクタの一つの好ましい具体例で
は、石英製環部22の内面22aの曲率半径R1 は32
5cm(13インチ)、底部リング40の内面の曲率半
径は250cm(9.5〜10インチ)、シーリング電
極24の直径Dは225cm(9インチ)、ウェーハペ
デスタル44の直径は200cm(8インチ) 、シーリ
ング電極の、ペデスタル24からの高さは112cm
(4.5インチ)であった。しかし、本発明はいかなる
特定の設計形状に限定されるものではない。
【0025】リアクタの操作方法 本発明は、別の態様において、図1の多モード結合プラ
ズマリアクタの特定の使用方法により具体化される。基
本的に図1のプラズマリアクタは、加圧方式と、化学
と、印加されるRF電力レベルとに依存して、3つの可
能性あるプラズマ結合モードである(1)主に誘導結合
プラズマ、(2)主に静電結合プラズマ、(3)誘導結
合と静電結合との両者の同時混成、に対応している。
【0026】例えば、ペデスタル44上に保持されたウ
ェーハ45上に二酸化珪素の化学的気相成長を達成する
ためには、リアクタを上記第一モードで操作して静電結
合プラズマを提供する。シラン等のシリコン先駆物質
を、シラン用の注入ノズル14を使用して、酸素含有ガ
スと共にチャンバ10に導入する。ポンプ弁12aを配
置して、100ミリトール以下のチャンバ圧を維持す
る。300kHz〜14MHz(好ましくは2.0MH
z)の1000〜5000ワットのRFエネルギをRF
源32からコイルアンテナ26に印加している間は、シ
ーリング電極24は接地される。コリン等の前記出願及
び、フェアベーンとノワックとの前記出願に開示されて
いる技術により、ウェーハスペデストルは接地させても
フロートさせてもよく、又、RF源50からのRFバイ
アスをペデスタル44に印加して、ペデスタル44上に
保持された半導体ウェーハに適当なプラズマシース電圧
を発生させることが可能である。場合によっては、誘導
結合プラズマの発生にシーリング電極の接地は必要でな
いことがある。
【0027】20cm(8インチ)直径のウェーハを保
持可能の容積(例えば、25〜30リットル)のチャン
バに対してチャンバ浄化操作を行うためには、チャンバ
圧を0.5〜5トールに設定し、この間に0.5〜3リ
ットール/分のフッ素含有ガス(例えば、NF3 、CF
4 、C2 6 等)と酸素とをチャンバ10にポンプ送り
する。ウェーハペデスタルをスイッチ52により切断
し、電気的にフロートさせ、コイルアンテナをスイッチ
30、34によりまず切断或いは接地させ、この間、3
00kHz〜14MHz(好ましくは1.8MHz)の
1000〜5000ワットのRFエネルギをRF源36
により、スイッチ38を通じてシーリング電極に印加す
る。プラズマに一旦点火すると、次の3つの目的のため
にコイルアンテナ26をRF源32に接続できる。
(1)コイルアンテナ26により提供される小成分の静
電結合により、既に発生している静電結合プラズマを効
率よく維持する。(2)石英製環部22にコイルアンテ
ナ26に近接させて、環部22からの残さ或いは付着物
のエッチング或いは掃去を可能にする。(3)コイルア
ンテナ26の誘導結合によりチャンバ10に熱を発生さ
せ、これにより、シーリング電極24等のチャンバ内面
と、ペデスタル周囲の石英材とからの二酸化珪素残さの
除去を容易にする。
【0028】静電結合のみでスパッタリング或いはエッ
チング操作を行うためには、リアクタを前段落記載通り
に、但し、コイルアンテナは終始切断したままか接地し
たままで操作する。静電結合モードの方がはるかに速い
エッチ速度を提供するが、これは、同モードが約50ボ
ルトのプラズマシース電圧を特徴とするからであり、一
方、誘導結合モードは、わずか5ボルト前後のプラズマ
シース電圧によって特徴づけられる。
【0029】以上に述べたチャンバ清浄操作後に、吸着
されたフッ素原子をチャンバ内部から除去する必要があ
る。この目的のために、チャンバ浄化操作との関連で記
載した方法と同一の方法で、但し、NF3 ガスを水素ガ
ス(或いはシラン等の水素含有ガス)に代えて、水素含
有プラズマをチャンバ10内に発生させる。
【0030】静電放電モードは、浄化すべき面の近くの
シートの電圧降下が大きいので、チャンバ浄化に一層好
ましい。このため、前記面に衝突するイオンが高エネル
ギーとなり、S−O結合の破壊が容易になる。しかし、
リアクタはいずれの方式でも、静電結合であれ誘導結合
であれ浄化可能である。リアクタの異なる領域での浄化
速度の一致は、適当な浄化方式の選択により達成可能で
ある。事実、誘導モードプラズマ浄化工程とそれに続く
静電モードプラズマ清浄工程とからなる2段階浄化法を
使用できる。別法として、これら2工程の順序を逆転で
きる。
【0031】静電浄化モードでは、圧力を比較的高く
(0.5トールより高い)してチャンバ壁の過剰スパッ
タリングを防止する必要がある。低圧方式では、プラズ
マ結合は主として誘導モードであり、最小の壁面バイア
スが生ずる。しかし、この誘導放電モードでは一般に、
プラズマ温度が一層高くなる。静電結合プラズマは、電
極浄化に非常に有効である。静電放電では直接にはバイ
アスされないチャンバの石英製ドームその他の領域の浄
化を容易にするのは、一般的には静電モードの結合プラ
ズマの誘導による強化である。
【0032】ウェーハペデスタルは、バイアスも接地も
フロートも可能である。ペデスタルを残さから浄化し、
或いは、逆に、それを過剰浄化と損傷とから保護するた
めには、ペデスタル電位をそのようにコントロールでき
ることが有益である。
【0033】以上に述べたチャンバ浄化法の重要な利点
は、所定リアクタ温度では、前記浄化方法が、フェアベ
ーンとノワックとの前記出願に記載のタイプの誘導結合
高密度プラズマリアクタ用の浄化方法よりも5〜10倍
高速であることである。
【0034】シーリング電極24とコイルアンテナ26
とに別々の個々のRF源を提供する好ましい態様を参照
しながら本発明を記載したが、コイルアンテナとシーリ
ング電極とは、両者が同時にRFエネルギーを受け取る
ようにするときには常に、共通のRF源に一緒に接続で
きる。
【0035】図1のリアクタの他の使用方法も有用であ
る。シーリング電極24とコイルアンテナ26とに印加
されたRF電力の比を調整することにより、図1のリア
クタは10ミリトール未満から15トール迄という広い
圧力域で操作でき、低圧方式は主として誘導結合プラズ
マに好ましく、高圧方式は主として、静電結合プラズマ
に好ましい。
【0036】本発明を、好ましい態様を特に参照しなが
ら詳細に記載したが、その変形、改良が本発明の真の精
神と範囲を逸脱することなく可能であることは理解され
よう。
【0037】
【発明の効果】本発明のCVDリアクタの操作方法は、
以上のように構成されるので、反応チャンバの様々な面
が、チャンバ内での位置の違い、材質の相違があっても
同一速度で浄化される。従って、チャンバ浄化操作中に
チャンバのある誘電体面が急速に消耗し、頻繁に交換す
る必要性も解消される。
【図面の簡単な説明】
【図1】本発明のプラズマリアクタの概略断面立面図で
ある。
【符号の説明】
10…真空チャンバ、12…真空ポンプ、12a…ポン
プ弁、14…ガス注入ノズル、16…ガス供給環形マニ
ホールド、18…メタルハウジング、20…シーリン
グ、22…環部、22a…環部内面、24…平円盤、2
6…コイルアンテナ、30、34、38、52…スイッ
チ、32…RF源、36、50…バイアス、RF発生装
置、40…環状リング、40a…湾曲内面、44…ウエ
ーハペデステル、44a…誘電体環部、45…ウエー
ハ、46…円形支持体、56…蓋、58…冷却ジャケッ
ト、60…抵抗加熱装置。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/3065 H05H 1/46 A 9216−2G (72)発明者 フレッド シー. レデカー アメリカ合衆国, カリフォルニア州 94539, フレモント, スー ドライヴ 1801 (72)発明者 ロムアルド ノワック アメリカ合衆国, カリフォルニア州 95014, キュパティノ, ディーン コ ート 22146 (72)発明者 シジアン リー アメリカ合衆国, カリフォルニア州 95129, サン ノゼ, ドニングトン ドライヴ 1202 (72)発明者 ティモゼイ ディアー アメリカ合衆国, カリフォルニア州 95014, キュパティノ, メドウ プレ イス 10397ビー (72)発明者 デレック アール. ウイッティ アメリカ合衆国, カリフォルニア州 95129, サン ノゼ, リオ ヴィスタ アヴェニュー 5081

Claims (23)

    【特許請求の範囲】
  1. 【請求項1】 真空チャンバ;前記真空チャンバの底部
    近くの、ウェーハを支持可能なウェーハ保持用ペデスタ
    ル;ガス注入口と真空ポンプ;前記チャンバの頂部の、
    一般的に平形の導電性シーリング電極;前記シーリング
    電極と前記ウェーハ保持用ペデスタルとの間の空間の周
    囲に隣接して配置されたコイルアンテナ;及び、前記コ
    イルアンテナ、前記シーリング電極、前記ウェーハペデ
    スタルの各々を、(a)各RF電源、(b)グランド、
    (c)フロート電位のうちの少なくとも1つに個々に接
    続するためのスイッチを備えるプラズマリアクタの操作
    方法において、 前記ガス注入口から前記チャンバ内にエッチングガスを
    導入しながら前記真空ポンプで前記チャンバ内を真空を
    形成する工程;前記シーリング電極にRFエネルギーを
    印加するために前記スイッチを操作する工程;を含む方
    法。
  2. 【請求項2】 前記真空チャンバ内で主として静電結合
    プラズマに点火するために、前記ウェーハペデスタルへ
    のRFエネルギーの印加を少なくとも当初は抑制しなが
    ら前記操作工程を行う、請求項1記載の方法。
  3. 【請求項3】 前記プラズマを発生後にRFエネルギー
    を前記コイルアンテナに印加することを更に含む、請求
    項1記載の方法。
  4. 【請求項4】 前記抑制工程が、前記ウェーハペデスタ
    ルの電位をフロートとすることを可能とするために前記
    ウェーハペデスタルを電気的に絶縁することを含む、請
    求項2記載の方法。
  5. 【請求項5】 前記真空が約0.5〜10トールの範囲
    内にある、請求項1記載の方法。
  6. 【請求項6】 前記RFエネルギーが、約300kHz
    〜14MHzの周波数で約1000〜3000ワットの
    範囲内の電力レベルを特徴とする、請求項1記載の方
    法。
  7. 【請求項7】 前記エッチングガスが、フッ化窒素類、
    フッ化珪素類、フッ化炭素類からなるエッチングガス群
    から選択され、前記チェンバへの前記エッチングガスの
    流速が約0.5〜3リットル/分の範囲内にある、請求
    項1記載の方法。
  8. 【請求項8】 前記コイルアンテナに印加されるRFエ
    ネルギーが、約1000〜5000ワットの電力レベル
    と約300kHz〜14MHzの周波数であることを特
    徴とする、請求項3記載の方法。
  9. 【請求項9】 水素プラズマを発生させ、それにより前
    記チャンバからフッ素残さを除去するために、前記チャ
    ンバから前記エッチングガスを除去する工程と、前記チ
    ャンバに水素含有ガスを導入する工程とを更に含む、請
    求項1記載の方法。
  10. 【請求項10】 前記チャンバから前記エッチングガス
    を除去し、前記ウェーハペデスタル上に加工対象のウェ
    ーハを置く工程;前記真空を選択された加工真空レベル
    に変えながら前記真空チェンバに少なくとも1種の選択
    された加工ガス(processing gas)を導入する工程;前記
    シーリング電極を接地するために前記スイッチを開き、
    誘導結合プラズマを発生させるために前記コイルアンテ
    ナにRFエネルギーを印加し、前記ウェーハペデスタル
    をバイアスする工程;を含むウェーハ加工操作を前記チ
    ャンバ浄化操作の完了時に行うことを更に含む、請求項
    1記載の方法。
  11. 【請求項11】 前記ウェーハ加工操作が、化学的気相
    成長工程を含み、前記選択加工ガスが前記ウェーハ上に
    付着すべき物質の前駆体ガス(a precursor gas) であ
    る、請求項10記載の方法。
  12. 【請求項12】 前記選択加工真空レベルが、約100
    ミリトール以下である、請求項11記載の方法。
  13. 【請求項13】 前記コイルアンテナに印加される前記
    RFエネルギーが、約1000〜5000ワットの電力
    レベルと約300kHz〜14MHzの周波数であるこ
    とを特徴とする、請求項11記載の方法。
  14. 【請求項14】 前記チャンバから前記エッチングガス
    を除去し、前記ウェーハペデスタル上に加工対象のウェ
    ーハを置く工程;前記真空を選択された加工真空レベル
    に変えながら前記真空チェンバに少なくとも1種の選択
    された加工ガスを導入する工程;前記コイルアンテナを
    接地するために前記スイッチを開き、静電結合プラズマ
    を発生させるために前記シーリング電極にRFエネルギ
    ーを印加し、前記ウェーハペデスタルをバイアスする工
    程;を含むウェーハ加工操作を前記チャンバ浄化操作の
    完了時に行うことを更に含む、請求項1記載の方法。
  15. 【請求項15】 前記選択加工真空レベルが約0.5〜
    10トールの範囲内にある、請求項14記載の方法。
  16. 【請求項16】 前記シーリング電極に印加される前記
    RFエネルギーが約1000〜3000ワットの電力レ
    ベルと約300kHz〜14MHzの周波数であること
    を特徴とする、請求項14記載の方法。
  17. 【請求項17】 真空チャンバ;前記真空チャンバの底
    部近くのウェーハ保持用ペデスタル;ガス注入口と真空
    ポンプ;前記チャンバの頂部の、一般的に平円盤形の導
    電性シーリング電極;前記シーリング電極と前記ウェー
    ハ保持用ペデスタルとの間の空間の周囲を巻くコイルア
    ンテナ;及び、前記コイルアンテナ、前記シーリング電
    極、前記ウェーハペデスタルの各々を、(a)各RF電
    源、(b)グランド、(c)フロート電位のうちの少な
    くとも1つに個々に接続するためのスイッチを備えるプ
    ラズマリアクタの操作方法において、前記リアクタを誘
    導結合モードで操作しようとするときには常に、前記シ
    ーリング電極を接地させながら、前記コイルアンテナに
    PF電力を印加するためにスイッチを操作する工程;前
    記リアクタを静電結合モードで操作しようとするときに
    は常に、前記シーリング電極にRF電力を印加するよう
    に前記スイッチを操作する工程;前記リアクタを浄化し
    ようとするときには常に、前記真空チェンバにエッチン
    グガスを導入しながら、前記シーリング電極と前記コイ
    ルアンテナとにRF電力を印加する工程:を含む方法。
  18. 【請求項18】 前記浄化工程中の前記ペデステルをエ
    ッチングから保護するために前記ウェーハ保持用ペデス
    テルの電位をフロートとすることを可能とすることを更
    に含む、請求項17記載の方法。
  19. 【請求項19】 前記ペデステル近くの付着物の除去を
    促進する傾向のある電位を前記ウェーハ保持用ペデステ
    ルに印加することを更に含む、請求項17記載の方法。
  20. 【請求項20】 真空チャンバ;前記真空チャンバの底
    部近くのウェーハ保持用ペデスタル;ガス注入口と真空
    ポンプ;前記チャンバの頂部の、一般的に平板形の導電
    性シーリング電極;前記シーリング電極と前記ウェーハ
    保持用ペデスタルとの間の空間の周囲に配置されたコイ
    ルアンテナ;及び、前記コイルアンテナ、前記シーリン
    グ電極、前記ウェーハペデスタルの各々を、(a)各R
    F電源、(b)グランド、(c)フロート電位のうちの
    少なくとも1つに個々に接続するためのスイッチを備え
    るプラズマ化学的気相成長リアクタの操作方法におい
    て、 前記シーリング電極を接地させながら、前記コイルアン
    テナにRF電力を印加するために前記スイッチを操作す
    ることにより、前記チャンバ内に誘導結合プラズマを維
    持しながら前記チャンバ内に成長前駆体ガスを導入する
    ことによって前記ペデスタル内のウエーハ上での化学的
    気相成長を行い;前記シーリング電極にRF電力を印加
    するために前記スイッチを操作することにより前記チャ
    ンバ内に静電結合プラズマを維持しながら前記チャンバ
    内に前駆体浄化ガスを導入することによって前記リアク
    タを浄化する;ことを含む方法。
  21. 【請求項21】 前記浄化工程が、前記コイルアンテナ
    にRF電力を印加することを更に含む、請求項20記載
    の方法。
  22. 【請求項22】 前記浄化工程中の前記ペデステルをエ
    ッチングから保護するために前記ウェーハ保持用ペデス
    テルの電位をフロートとすることを可能とすることを更
    に含む、請求項20記載の方法。
  23. 【請求項23】 前記ペデステル近くの付着物の除去を
    促進する傾向のある電位を前記ウェーハ保持用ペデステ
    ルに印加することを更に含む、請求項20記載の方法。
JP10613895A 1994-04-28 1995-04-28 誘導結合モードと静電結合モードとを併用する高密度プラズマcvdリアクタの操作方法 Expired - Fee Related JP3792267B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US23473994A 1994-04-28 1994-04-28
US08/234739 1994-04-28

Publications (2)

Publication Number Publication Date
JPH0892748A true JPH0892748A (ja) 1996-04-09
JP3792267B2 JP3792267B2 (ja) 2006-07-05

Family

ID=22882602

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10613895A Expired - Fee Related JP3792267B2 (ja) 1994-04-28 1995-04-28 誘導結合モードと静電結合モードとを併用する高密度プラズマcvdリアクタの操作方法

Country Status (6)

Country Link
US (1) US6465051B1 (ja)
EP (1) EP0680072B1 (ja)
JP (1) JP3792267B2 (ja)
KR (1) KR100240534B1 (ja)
AT (1) ATE251798T1 (ja)
DE (1) DE69531880T2 (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10116826A (ja) * 1996-07-15 1998-05-06 Applied Materials Inc 誘導結合型hdp−cvdリアクター
JPH11167037A (ja) * 1997-10-02 1999-06-22 Samsung Electron Co Ltd 誘導結合プラズマ装置を利用する光導波路素子製造方法
US6196155B1 (en) 1998-04-15 2001-03-06 Hitachi, Ltd. Plasma processing apparatus and method of cleaning the apparatus
SG94816A1 (en) * 2000-05-12 2003-03-18 Applied Materials Inc Conditioned chamber for improving chemical vapor deposition
JP2005026687A (ja) * 2003-07-01 2005-01-27 Ips Ltd 薄膜蒸着方法
KR100704591B1 (ko) * 2000-03-21 2007-04-09 주성엔지니어링(주) Cvd 장치 및 그 내부 세정방법
JP2008171967A (ja) * 2007-01-11 2008-07-24 Sumitomo Electric Ind Ltd 半導体加熱装置
JP2009164613A (ja) * 1997-02-19 2009-07-23 Applied Materials Inc Hdp−cvdpsg膜の形成方法および装置
WO2010001880A1 (ja) * 2008-07-01 2010-01-07 株式会社ユーテック プラズマcvd装置、dlc膜及び薄膜の製造方法
KR20120117872A (ko) * 2010-01-15 2012-10-24 도쿄엘렉트론가부시키가이샤 스위쳐블 중성빔 소스
JP2016157685A (ja) * 2015-02-13 2016-09-01 エスピーティーエス テクノロジーズ リミティド プラズマ生成装置
JP2022520210A (ja) * 2019-02-07 2022-03-29 マトソン テクノロジー インコーポレイテッド プラズマ処理装置における角度付けられたインジェクタを備えたガス供給器

Families Citing this family (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
EP0777258A3 (en) * 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5817534A (en) * 1995-12-04 1998-10-06 Applied Materials, Inc. RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6200412B1 (en) * 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US5811356A (en) * 1996-08-19 1998-09-22 Applied Materials, Inc. Reduction in mobile ion and metal contamination by varying season time and bias RF power during chamber cleaning
US6308654B1 (en) 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
TW386250B (en) * 1997-04-04 2000-04-01 Applied Materials Inc Method and apparatus for reducing the first wafer effect
GB9711273D0 (en) * 1997-06-03 1997-07-30 Trikon Equip Ltd Electrostatic chucks
US6176978B1 (en) 1997-08-18 2001-01-23 Applied Materials, Inc. Pasting layer formation method for high density plasma deposition chambers
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6165375A (en) * 1997-09-23 2000-12-26 Cypress Semiconductor Corporation Plasma etching method
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6090705A (en) * 1998-01-20 2000-07-18 Tokyo Electron Limited Method of eliminating edge effect in chemical vapor deposition of a metal
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6235213B1 (en) 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
US6277759B1 (en) * 1998-08-27 2001-08-21 Micron Technology, Inc. Plasma etching methods
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6518190B1 (en) * 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
EP1274876A2 (en) * 2000-04-03 2003-01-15 Applied Materials, Inc. Cleaning of a plasma processing system silicon roof
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
SG89396A1 (en) * 2000-05-12 2002-06-18 Applied Materials Inc Gas reactions to eliminate contaminates in a cvd chamber
AU2001259557A1 (en) * 2000-05-12 2001-11-26 Tokyo Electron Limited Method of adjusting the thickness of an electrode in a plasma processing system
US7011039B1 (en) 2000-07-07 2006-03-14 Applied Materials, Inc. Multi-purpose processing chamber with removable chamber liner
KR100391063B1 (ko) * 2000-11-06 2003-07-16 주식회사 플라즈마트 유도결합으로 보강된 축전결합형 플라즈마 발생장치 및플라즈마 발생방법
US20020170678A1 (en) * 2001-05-18 2002-11-21 Toshio Hayashi Plasma processing apparatus
JP3729103B2 (ja) * 2001-08-28 2005-12-21 株式会社村田製作所 圧電装置、ラダー型フィルタ及び圧電装置の製造方法
US6589611B1 (en) * 2002-08-22 2003-07-08 Micron Technology, Inc. Deposition and chamber treatment methods
KR100457844B1 (ko) * 2002-08-27 2004-11-18 삼성전자주식회사 반도체 장치의 식각 방법
US6992011B2 (en) * 2003-01-15 2006-01-31 Tokyo Electron Limited Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
CN100463112C (zh) * 2003-05-30 2009-02-18 周星工程股份有限公司 一种用于半导体装置的设备
US7102562B2 (en) * 2004-03-22 2006-09-05 Motorola, Inc. Radio frequency anechoic chamber with improved test stand
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US7182880B2 (en) * 2004-03-30 2007-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Process for reducing particle formation during etching
KR100611665B1 (ko) 2004-11-23 2006-08-10 삼성에스디아이 주식회사 유도결합 플라즈마 화학기상증착 장치
EP1689216A1 (en) * 2005-02-04 2006-08-09 Vlaamse Instelling Voor Technologisch Onderzoek (Vito) Atmospheric-pressure plasma jet
US8187416B2 (en) * 2005-05-20 2012-05-29 Applied Materials, Inc. Interior antenna for substrate processing chamber
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
KR100720988B1 (ko) * 2006-03-10 2007-05-28 위순임 매설된 유도 안테나를 구비하는 플라즈마 처리 챔버
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7605008B2 (en) * 2007-04-02 2009-10-20 Applied Materials, Inc. Plasma ignition and complete faraday shielding of capacitive coupling for an inductively-coupled plasma
US8435379B2 (en) 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
KR101418438B1 (ko) * 2007-07-10 2014-07-14 삼성전자주식회사 플라즈마 발생장치
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
CN102197714A (zh) * 2008-10-21 2011-09-21 应用材料股份有限公司 清洁腔室及工艺所用的等离子体源
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20130112669A1 (en) * 2011-11-08 2013-05-09 Takashi Uemura Heat treatment apparatus
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9088085B2 (en) 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
RU2529633C1 (ru) * 2013-03-27 2014-09-27 Общество с ограниченной ответственностью "ЭСТО-Вакуум" Устройство для плазмохимического травления
US9570263B2 (en) * 2013-06-11 2017-02-14 Supergrid Institute Sas Vacuum switching assembly
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
KR101649947B1 (ko) * 2014-07-08 2016-08-23 피에스케이 주식회사 이중 플라즈마 소스를 이용한 플라즈마 생성 장치 및 그를 포함하는 기판 처리 장치
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
WO2018204500A1 (en) * 2017-05-02 2018-11-08 Tokyo Electron Limited Manufacturing methods to reduce surface particle impurities after a plasma process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI697261B (zh) * 2018-05-22 2020-06-21 呈睿國際股份有限公司 感應耦合電漿蝕刻系統及其切換式匹配裝置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
US11499223B2 (en) * 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795880A (en) * 1986-09-11 1989-01-03 Hayes James A Low pressure chemical vapor deposition furnace plasma clean apparatus
KR900007687B1 (ko) 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
GB8629634D0 (en) 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
DE3738352A1 (de) 1987-11-11 1989-05-24 Technics Plasma Gmbh Filamentloses magnetron-ionenstrahlsystem
DE68924413T2 (de) 1989-01-25 1996-05-02 Ibm Radiofrequenzinduktion/Mehrpolplasma-Bearbeitungsvorrichtung.
GB8905075D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5149931A (en) * 1989-04-11 1992-09-22 Mitsubishi Denki K.K. Power source for electric discharge machining
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
WO1991010341A1 (en) * 1990-01-04 1991-07-11 Savas Stephen E A low frequency inductive rf plasma reactor
WO1992020833A1 (en) 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
EP0552491B1 (en) * 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008091938A (ja) * 1996-07-15 2008-04-17 Applied Materials Inc プロセスキット、ウェハ処理装置及びプロセスキットの加熱方法
JPH10116826A (ja) * 1996-07-15 1998-05-06 Applied Materials Inc 誘導結合型hdp−cvdリアクター
JP2009164613A (ja) * 1997-02-19 2009-07-23 Applied Materials Inc Hdp−cvdpsg膜の形成方法および装置
JPH11167037A (ja) * 1997-10-02 1999-06-22 Samsung Electron Co Ltd 誘導結合プラズマ装置を利用する光導波路素子製造方法
US6196155B1 (en) 1998-04-15 2001-03-06 Hitachi, Ltd. Plasma processing apparatus and method of cleaning the apparatus
KR100704591B1 (ko) * 2000-03-21 2007-04-09 주성엔지니어링(주) Cvd 장치 및 그 내부 세정방법
SG94816A1 (en) * 2000-05-12 2003-03-18 Applied Materials Inc Conditioned chamber for improving chemical vapor deposition
JP2005026687A (ja) * 2003-07-01 2005-01-27 Ips Ltd 薄膜蒸着方法
JP2008171967A (ja) * 2007-01-11 2008-07-24 Sumitomo Electric Ind Ltd 半導体加熱装置
WO2010001880A1 (ja) * 2008-07-01 2010-01-07 株式会社ユーテック プラズマcvd装置、dlc膜及び薄膜の製造方法
KR20120117872A (ko) * 2010-01-15 2012-10-24 도쿄엘렉트론가부시키가이샤 스위쳐블 중성빔 소스
JP2016157685A (ja) * 2015-02-13 2016-09-01 エスピーティーエス テクノロジーズ リミティド プラズマ生成装置
JP2022520210A (ja) * 2019-02-07 2022-03-29 マトソン テクノロジー インコーポレイテッド プラズマ処理装置における角度付けられたインジェクタを備えたガス供給器

Also Published As

Publication number Publication date
US6465051B1 (en) 2002-10-15
ATE251798T1 (de) 2003-10-15
EP0680072B1 (en) 2003-10-08
KR950034551A (ko) 1995-12-28
EP0680072A3 (en) 1998-12-09
JP3792267B2 (ja) 2006-07-05
DE69531880T2 (de) 2004-09-09
KR100240534B1 (ko) 2000-01-15
EP0680072A2 (en) 1995-11-02
DE69531880D1 (de) 2003-11-13

Similar Documents

Publication Publication Date Title
JPH0892748A (ja) 誘導結合モードと静電結合モードとを併用する高密度プラズマcvdリアクタ
US6220201B1 (en) High density plasma CVD reactor with combined inductive and capacitive coupling
US8298626B2 (en) Methods for selective pre-coating of a plasma processing chamber
CN101048856B (zh) 用于等离子室内的氧化钇绝缘体环
US5614055A (en) High density plasma CVD and etching reactor
US5748434A (en) Shield for an electrostatic chuck
JP3141929B2 (ja) 基板処理装置及び化学蒸着装置洗浄方法
EP0578010B1 (en) Multi-zone plasma processing system
US5503676A (en) Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
US5812362A (en) Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
TWI381440B (zh) 用以去除晶圓之斜邊與背側上之薄膜的設備及方法
US6464843B1 (en) Contamination controlling method and apparatus for a plasma processing chamber
JPH09106898A (ja) プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
GB2308231A (en) Plasma chamber cleaning of semiconductor processing apparatus
KR20020070255A (ko) 플라즈마 에칭 챔버에 대한 다단계 세정
JPH0883697A (ja) プラズマ生成を増強するための電極を備えた誘導結合プラズマ反応装置
JPH08316210A (ja) プラズマ処理方法及び装置
US6306244B1 (en) Apparatus for reducing polymer deposition on substrate support
JPWO2002058125A1 (ja) プラズマ処理装置およびプラズマ処理方法
US6435197B2 (en) Method of cleaning a semiconductor fabricating apparatus
JP2004531880A (ja) 二重電極を有する基板の支持体
JP2003158117A (ja) ダメージのないウェハードライエッチングのプラズマ処理装置
JPH09320991A (ja) 窒化チタン薄膜の作製方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20020130

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050705

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050922

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060307

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060405

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees