KR950034551A - 유도성 및 용량성 커플링이 조합된 고밀도 플라즈마 cvd 반응로 작동방법 - Google Patents

유도성 및 용량성 커플링이 조합된 고밀도 플라즈마 cvd 반응로 작동방법 Download PDF

Info

Publication number
KR950034551A
KR950034551A KR1019950010291A KR19950010291A KR950034551A KR 950034551 A KR950034551 A KR 950034551A KR 1019950010291 A KR1019950010291 A KR 1019950010291A KR 19950010291 A KR19950010291 A KR 19950010291A KR 950034551 A KR950034551 A KR 950034551A
Authority
KR
South Korea
Prior art keywords
chamber
wafer
pedestal
ceiling electrode
coil antenna
Prior art date
Application number
KR1019950010291A
Other languages
English (en)
Other versions
KR100240534B1 (ko
Inventor
세인 터거트
씨. 레더커 프레트
노워크 로무얼드
리 시지안
다이어 티모시
알. 위티 더럭
Original Assignee
제임스 조셉 드롱
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 제임스 조셉 드롱, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 제임스 조셉 드롱
Publication of KR950034551A publication Critical patent/KR950034551A/ko
Application granted granted Critical
Publication of KR100240534B1 publication Critical patent/KR100240534B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

본 발명은 에칭가스를 가스 주입부를 통해 챔버로 도입할 동안 챔버를 진공하고, 진공 챔버에서 주로 용량 결합된 플라즈마를 생성하기 위해서 코일 안테나에 RF에너지를 인가하지 않는 동안 챔버에서 천장전극에 RF에너지를 인가하여 플라즈마 반응로를 정화하는 방법으로 실현된다. 다른 실시예에서의 방법은, 반응로가 유도성 커플링 모드에서 작동하고자 할때마다, 천장전극이 접지할 동안 반응로의 코일 안테나에 RF전력을 인가하고, 반응로가 용량성 커플링 모드에서 작동하고자 할때마다, 천장전극 RF전력을 인가하고, 반응로가 정화되고자 할때마다 에칭 가스가 진공챔버로 도입될 동안 천장전극 및 코일 안테나에 RF전력을 인가함으로써 반응로를 정화하는 것을 포함한다. 또 다른 실시예에서 방법은 천장전극이 접지될동안 코일 안테나에 RF전력을 인가함으로써 유도 결합된 플라즈마를 유지하는 동안 챔버내로 증착물 선구가스를 도입함으로써 웨이퍼 상에서 화학증기 증착을 수행하고, 천장전극에 RF전력을 인가함으로써 챔버내에서 용량 결합된 플라즈마를 유지하는 동안 챔버로 선구 정화가스를 도입함으로써 반응로를 정화하는 것을 포함한다.

Description

유도성 및 용량성 커플링을 갖는 고밀도 플라즈마 CVD 반응로 작동방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명을 구현하는 플라즈마 반응로의 개략적인 횡단면도

Claims (24)

  1. 진공챔버와 상기 진공챔버, 가스입구, 및 진공펌프의 바닥 부근에 웨이퍼를 지지할 수 있는 웨이퍼 유지받침대와, 상기 챔버의 상부에 평면의 전도성 천장전극과, 상기 천장 전극 및 상기 웨이퍼 유지 받침대 사이의 공간 주변에 인접하여 위치된 코일 안테나와, (a)각각의 RF전력전원 (b)접지, (C)부동 전위의 적어도 하나에 상기 코일 안테나, 상기 천장 전극 및 상기 웨이퍼 받침대의 각각 하나를 개별적으로 연결하기 위한 스위치를 갖는 플라즈마 반응로의 작동 방법에 있어서, 에칭 가스를 상기 가스 주입부를 통해 챔버안으로 도입할 동안 상기 진공펌프로 챔버를 진공시키는 단계; 및 상기 천장 전극에 RF에너지를 인가하기 위해서 상기 스위치를 작용시키는 단계를 포함하는 것을 특징으로 하는 챔버 정화작용을 포함하는 플라즈마 반응로 작동방법.
  2. 제1항에 있어서, 작동단계는 상기 진공 챔버에서 주로 용량 결합된 플라즈마를 점화하기 위해서 적어도 초기에 상기 웨이퍼 받침대에 RF에너지를 인가하는 것을 억제할 동안 수행되는 것을 특징으로 하는 플라즈마 반응로 작동방법.
  3. 제1항에 있어서, 상기 플라즈마가 생성된 후에 RF에너지를 상기 코일 안테나에 인가하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 반응로 작동방법.
  4. 제2항에 있어서, 억제단계는 상기 웨이퍼 받침대의 전기적 전위를 부동하도록 허용하기 위해서 상기 웨이퍼 받침대를 전기적으로 절연시키는 단계를 포함하는 것을 특징으로 하는 플라즈마 반응로 작동방법.
  5. 제1항에 있어서, 상기 진공이 약 0.5 및 10토르 사이의 범위에 있는 것을 특징으로 하는 플라즈마 반응로 작동방법.
  6. 제1항에 있어서, 상기 RF에너지가 약 300KHZ 및 14MHZ 사이의 주파수에서 약 1000 및 3000와트 사이의 범위의 전력 레벨에 의해 특징지어지는 것을 특징으로 하는 플라즈마 반응로 작동방법.
  7. 제1항에 있어서, 상기 에칭가스는 질소 불화물, 실리콘 불화물 및 탄소 불화물을 포함하는 에칭가스의 분류이고 상기 챔버내로 에칭가스의 흐름 비율은 분당 약 0.5 및 3리터 사이의 범위에 있는 것을 특징으로 하는 플라즈마 반응로 작동방법.
  8. 제3항에 있어서, 상기 코일 안테나에 인가된 RF에너지는 약 1000 및 5000와트 사이 정도의 저력 레벨 및 약 300KHZ 및 14MHZ 사이의 주파수에 의해 특징 지어지는 것을 특징으로 하는 플라즈마 반응로 작동방법.
  9. 제1항에 있어서, 상기 챔버로 부터 상기 에칭가스를 제거하고 수소 플라즈마를 형성하기 위해서 챔버내로 수소 포함가스를 도입하는, 단계를 더 포함하여 상기 챔버에서 불화 잔류물을 제거하는 것을 특징으로 하는 플라즈마 반응로 작동 방법.
  10. 제1항에 있어서, 상기 챔버 정화작용의 완성시에 수행된 웨이퍼 처리 동작이 상기 챔버로 부터 에칭가스를 제거하고 웨이퍼를 웨이퍼 받침대로 처리하고자 위치시키는 단계; 선택된 처리 진공레벨로 진공을 변화시킬 동안 상기 진공챔버로 적어도 선택된 처리가스를 도입하는 단계; RF에너지를 코일 안테나에 인가하고 유도 결합된 플라즈마를 형성하기 위하여 웨이퍼 받침대를 바이어스하여, 상기 천장전극을 접지하기 위해서 스위치를 작동하는 것을 포함하는 것을 특징으로 하는 플라즈마 반응로 작동 방법.
  11. 제10항에 있어서, 상기 웨이퍼 처리작용은 화학증기 증착처리를 포함하고 상기 선택된 처리가스는 상기 웨이퍼에 증착하고자 하는 물질의 선구가스인 것을 특징으로 하는 플라즈마 반응로 작동방법.
  12. 제11항에 있어서, 상기 선택된 처리진공 레벨은 약 100밀리 토르보다 크지 않은 정도인 것을 특징으로 하는 플라즈마 반응로 작동 방법.
  13. 제11항에 있어서, 상기 코일 안테나에 인가된 RF에너지를 약 300KHZ 및 14MHZ 사이의 주파수에서 약 1000 및 5000 와트 사이의 전력 레벨에 의해 특징 지어지는 것을 특징으로 하는 플라즈마 반응로 작동 방법.
  14. 제1항에 있어서, 상기 챔버 정화작용의 완성시에 수행된 웨이퍼 처리작용은; 상기 챔버로부터 에칭가스를 제거하고 웨이퍼를 웨이퍼 받침대로 처리하고자 위치 시키는 단계; 선택된 처리 진공레벨로 진공을 변화시킬 동안 상기 진공챔버로 적어도 선택된 처리가스를 도입하는 단계; RF에너지를 코일 안테나에 적용하고 유도 결합된 플라즈마를 형성하기 위해서 웨이퍼 받침대를 바이어스 하여, 상기 천장 전극을 접지하기 위해서 스위치를 작동 하는 것을 특징으로 하는 플라즈마 반응로 작동 방법.
  15. 제14항에 있어서, 상기 선택된 처리 진공레벨은 약 0.5 및 10토르사이의 범위에 있는 것을 특징으로하는 플라즈마 반응로 작동방법.
  16. 제14항에 있어서, 상기 천장 전극에 인가된 RF 에너지는 300KHZ 및 14MHZ 사이의 주파수에서 약 1000 및 3000와트 사이의 전력레벨에 의해 특징지어지는 것을 특징으로 하는 플라즈마 반응로 작동방법.
  17. 진공챔버와 상기 진공챔버, 가스주입부 및 진공펌프 바닥근처에 웨이퍼 유지 받침대와, 상기 챔버의 상부에 일반적으로 평면의 디스크 모양인 전도체 천장 전극과, 상기 천장 전극 및 상기 웨이퍼 유지 받침대 사이의 공간 주위에 감겨진 코일 안테나 및, (a) 각각의 RF 전력전원, (b) 접지 (c) 부동전위의 적어도 하나에 상기 코일 안테나, 천장 전극 및 웨이퍼 받침대의 각각 하나를 개별적으로 연결하기 위한 스위치를 갖는 플라즈마 반응로의 작동방법에 있어서, 상기 방법이 상기 반응로가 유도성 커플링 모드에서 작동하고자 할때마다, 천장전극이 접지될 동안 코일 안테나에 RF전력을 인가시키기 위해서 상기 스위치를 작동시키는 단계; 및 상기 반응로가 용량성 커플링 모드에 작동하고자 할때마다, 상기 천장전극에 RF전력을 인가하고자 상기 스위치를 작동시키는 단계; 및 상기 반응로가 정화되고자 할때마다, 에칭가스를 진공챔버에 도입할 동안 천장전극 및 코일 안테나에 RF전력을 인가함으로써 반응로를 정화하는 단계를 포함하는 것을 특징으로 하는 플라즈마 반응로 작동 방법.
  18. 제17항에 있어서, 정화단계 동안 에칭으로 부터 상기 받침대를 보호하기 위해서 웨이퍼 유지 받침대의 전위가 부동하도록 허용하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 반응로 작동방법.
  19. 제17항에 있어서, 상기 받침대 근처의 증착물 제거를 강화시키고자 웨이퍼 유지 받침대에 전위를 인가하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 작동방법.
  20. 진공챔버와, 상기 진공챔버, 가스 주입부 및 진공펌프의 바닥 근처에 웨이퍼 유지 받침대와, 상기 챔버의 상부에 일반적으로 평면의 디스크 모양의 전도체 천장전극과, 상기 천장전극 및 웨이퍼 유지 받침대 사이의 공간에 위치된 코일 안테나 및 (a) 각각의 RF전력전원 (b)접지, (c) 부유전위의 적어도 하나에 상기 코일 안테나, 천장전극 및 웨이퍼 받침대의 각각 하나를 개별적으로 연결하기 위한 스위치를 갖는 플라즈마 화학증기 증착반응로 작동방법에 있어서, 상기 방법은 상기 천장 전극이 접지될 동안 코일 안테나에 RF전력을 인가하기 위해서 스위치를 작동함으로써 유도 결합된 플라즈마를 유지하는 동안 챔버에 증착 선구가스를 도입합으로써 받침대의 웨이퍼상에 화학증기 증착을 시행하는 단계; 및 상기 천장전극에 RF전력을 인가하기 위해서 스위치를 작동함으로써 챔버에 용량 결합된 플라즈마를 유지하는 동안 챔버내로 선구 정화가스를 도입함으로써 반응로에 정화하는 단계를 포함하는 것을 특징으로 하는 플라즈마 반응로 작동방법.
  21. 제20항에 있어서, 상기 정화단계를 코일 안테나에 RF전력을 인가하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 반응로 작동방법.
  22. 제20항에 있어서, 상기 정화단계 동안 에칭으로 받침대를 보호하기 위해서 부동하도록 하는 웨이퍼 유지 받침대의 전위를 허락하는 것을 더 포함하는 것을 특징으로 하는 플라즈마 반응로 작동방법.
  23. 제20항에 있어서, 상기 받침대 근처에 증착물의 제거를 강화하고자 웨이퍼 유지 받침대에 전위를 인가하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 반응로 작동방법.
  24. 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019950010291A 1994-04-28 1995-04-28 유도성 및 용량성 커플링이 조합된 고밀도 플라즈마 cvd 반응로 작동방법 KR100240534B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US23473994A 1994-04-28 1994-04-28
US8/234739 1994-04-28

Publications (2)

Publication Number Publication Date
KR950034551A true KR950034551A (ko) 1995-12-28
KR100240534B1 KR100240534B1 (ko) 2000-01-15

Family

ID=22882602

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950010291A KR100240534B1 (ko) 1994-04-28 1995-04-28 유도성 및 용량성 커플링이 조합된 고밀도 플라즈마 cvd 반응로 작동방법

Country Status (6)

Country Link
US (1) US6465051B1 (ko)
EP (1) EP0680072B1 (ko)
JP (1) JP3792267B2 (ko)
KR (1) KR100240534B1 (ko)
AT (1) ATE251798T1 (ko)
DE (1) DE69531880T2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100535827B1 (ko) * 1997-03-31 2005-12-12 램 리서치 코포레이션 플라즈마 공정 챔버 내부 표면 상의 부착물 생성을 제어하는 방법 및 그 장치
KR100720988B1 (ko) * 2006-03-10 2007-05-28 위순임 매설된 유도 안테나를 구비하는 플라즈마 처리 챔버

Families Citing this family (202)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
EP0777258A3 (en) * 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5817534A (en) * 1995-12-04 1998-10-06 Applied Materials, Inc. RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5811356A (en) * 1996-08-19 1998-09-22 Applied Materials, Inc. Reduction in mobile ion and metal contamination by varying season time and bias RF power during chamber cleaning
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6013584A (en) * 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
TW386250B (en) * 1997-04-04 2000-04-01 Applied Materials Inc Method and apparatus for reducing the first wafer effect
GB9711273D0 (en) 1997-06-03 1997-07-30 Trikon Equip Ltd Electrostatic chucks
US6176978B1 (en) 1997-08-18 2001-01-23 Applied Materials, Inc. Pasting layer formation method for high density plasma deposition chambers
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6165375A (en) * 1997-09-23 2000-12-26 Cypress Semiconductor Corporation Plasma etching method
CN1213782A (zh) * 1997-10-02 1999-04-14 三星电子株式会社 一种光波导装置的制造方法
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6090705A (en) * 1998-01-20 2000-07-18 Tokyo Electron Limited Method of eliminating edge effect in chemical vapor deposition of a metal
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JPH11297673A (ja) 1998-04-15 1999-10-29 Hitachi Ltd プラズマ処理装置及びクリーニング方法
US6235213B1 (en) 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
US6277759B1 (en) * 1998-08-27 2001-08-21 Micron Technology, Inc. Plasma etching methods
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6518190B1 (en) 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
KR100704591B1 (ko) * 2000-03-21 2007-04-09 주성엔지니어링(주) Cvd 장치 및 그 내부 세정방법
WO2001075189A2 (en) * 2000-04-03 2001-10-11 Applied Materials, Inc. Cleaning of a plasma processing system silicon roof
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
KR100554426B1 (ko) * 2000-05-12 2006-02-22 동경 엘렉트론 주식회사 플라즈마 처리시스템에서의 전극의 두께 조정방법
SG94816A1 (en) * 2000-05-12 2003-03-18 Applied Materials Inc Conditioned chamber for improving chemical vapor deposition
SG89396A1 (en) * 2000-05-12 2002-06-18 Applied Materials Inc Gas reactions to eliminate contaminates in a cvd chamber
US7011039B1 (en) 2000-07-07 2006-03-14 Applied Materials, Inc. Multi-purpose processing chamber with removable chamber liner
KR100391063B1 (ko) * 2000-11-06 2003-07-16 주식회사 플라즈마트 유도결합으로 보강된 축전결합형 플라즈마 발생장치 및플라즈마 발생방법
US20020170678A1 (en) * 2001-05-18 2002-11-21 Toshio Hayashi Plasma processing apparatus
JP3729103B2 (ja) * 2001-08-28 2005-12-21 株式会社村田製作所 圧電装置、ラダー型フィルタ及び圧電装置の製造方法
US6589611B1 (en) * 2002-08-22 2003-07-08 Micron Technology, Inc. Deposition and chamber treatment methods
KR100457844B1 (ko) * 2002-08-27 2004-11-18 삼성전자주식회사 반도체 장치의 식각 방법
US6992011B2 (en) * 2003-01-15 2006-01-31 Tokyo Electron Limited Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
CN100463112C (zh) * 2003-05-30 2009-02-18 周星工程股份有限公司 一种用于半导体装置的设备
KR100527047B1 (ko) * 2003-07-01 2005-11-09 주식회사 아이피에스 박막증착방법
US7102562B2 (en) * 2004-03-22 2006-09-05 Motorola, Inc. Radio frequency anechoic chamber with improved test stand
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US7182880B2 (en) * 2004-03-30 2007-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Process for reducing particle formation during etching
KR100611665B1 (ko) 2004-11-23 2006-08-10 삼성에스디아이 주식회사 유도결합 플라즈마 화학기상증착 장치
EP1689216A1 (en) * 2005-02-04 2006-08-09 Vlaamse Instelling Voor Technologisch Onderzoek (Vito) Atmospheric-pressure plasma jet
US8187416B2 (en) * 2005-05-20 2012-05-29 Applied Materials, Inc. Interior antenna for substrate processing chamber
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
JP5298430B2 (ja) * 2007-01-11 2013-09-25 住友電気工業株式会社 半導体加熱装置
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7605008B2 (en) * 2007-04-02 2009-10-20 Applied Materials, Inc. Plasma ignition and complete faraday shielding of capacitive coupling for an inductively-coupled plasma
US8435379B2 (en) 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
KR101418438B1 (ko) * 2007-07-10 2014-07-14 삼성전자주식회사 플라즈마 발생장치
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
JP5211332B2 (ja) * 2008-07-01 2013-06-12 株式会社ユーテック プラズマcvd装置、dlc膜及び薄膜の製造方法
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
CN102197714A (zh) * 2008-10-21 2011-09-21 应用材料股份有限公司 清洁腔室及工艺所用的等离子体源
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
KR101310851B1 (ko) * 2011-11-08 2013-09-25 가부시키가이샤 히다치 하이테크놀로지즈 열처리 장치
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9088085B2 (en) 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
RU2529633C1 (ru) * 2013-03-27 2014-09-27 Общество с ограниченной ответственностью "ЭСТО-Вакуум" Устройство для плазмохимического травления
US9570263B2 (en) * 2013-06-11 2017-02-14 Supergrid Institute Sas Vacuum switching assembly
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
KR101649947B1 (ko) * 2014-07-08 2016-08-23 피에스케이 주식회사 이중 플라즈마 소스를 이용한 플라즈마 생성 장치 및 그를 포함하는 기판 처리 장치
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
GB201502453D0 (en) * 2015-02-13 2015-04-01 Spts Technologies Ltd Plasma producing apparatus
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR20190137927A (ko) * 2017-05-02 2019-12-11 도쿄엘렉트론가부시키가이샤 플라즈마 프로세스 후에 표면 입자 불순물들을 감소시키기 위한 제조 방법
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI697261B (zh) * 2018-05-22 2020-06-21 呈睿國際股份有限公司 感應耦合電漿蝕刻系統及其切換式匹配裝置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20200258718A1 (en) * 2019-02-07 2020-08-13 Mattson Technology, Inc. Gas Supply With Angled Injectors In Plasma Processing Apparatus
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795880A (en) * 1986-09-11 1989-01-03 Hayes James A Low pressure chemical vapor deposition furnace plasma clean apparatus
KR900007687B1 (ko) 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
GB8629634D0 (en) 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
DE3738352A1 (de) 1987-11-11 1989-05-24 Technics Plasma Gmbh Filamentloses magnetron-ionenstrahlsystem
EP0379828B1 (en) 1989-01-25 1995-09-27 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
GB8905075D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5149931A (en) * 1989-04-11 1992-09-22 Mitsubishi Denki K.K. Power source for electric discharge machining
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
DE69128345T2 (de) * 1990-01-04 1998-03-26 Mattson Tech Inc Induktiver plasmareaktor im unteren hochfrequenzbereich
JP3670277B2 (ja) 1991-05-17 2005-07-13 ラム リサーチ コーポレーション 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法
JP2635267B2 (ja) * 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
EP0552491B1 (en) * 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100535827B1 (ko) * 1997-03-31 2005-12-12 램 리서치 코포레이션 플라즈마 공정 챔버 내부 표면 상의 부착물 생성을 제어하는 방법 및 그 장치
KR100720988B1 (ko) * 2006-03-10 2007-05-28 위순임 매설된 유도 안테나를 구비하는 플라즈마 처리 챔버

Also Published As

Publication number Publication date
JPH0892748A (ja) 1996-04-09
EP0680072B1 (en) 2003-10-08
DE69531880D1 (de) 2003-11-13
JP3792267B2 (ja) 2006-07-05
EP0680072A3 (en) 1998-12-09
EP0680072A2 (en) 1995-11-02
US6465051B1 (en) 2002-10-15
DE69531880T2 (de) 2004-09-09
ATE251798T1 (de) 2003-10-15
KR100240534B1 (ko) 2000-01-15

Similar Documents

Publication Publication Date Title
KR950034551A (ko) 유도성 및 용량성 커플링이 조합된 고밀도 플라즈마 cvd 반응로 작동방법
US5879575A (en) Self-cleaning plasma processing reactor
US6220201B1 (en) High density plasma CVD reactor with combined inductive and capacitive coupling
US5824607A (en) Plasma confinement for an inductively coupled plasma reactor
US6536449B1 (en) Downstream surface cleaning process
JP3141929B2 (ja) 基板処理装置及び化学蒸着装置洗浄方法
US6143129A (en) Inductive plasma reactor
US5423945A (en) Selectivity for etching an oxide over a nitride
US6239553B1 (en) RF plasma source for material processing
US7754997B2 (en) Apparatus and method to confine plasma and reduce flow resistance in a plasma
US6379575B1 (en) Treatment of etching chambers using activated cleaning gas
KR101336479B1 (ko) 플라즈마 프로세싱 챔버의 선택적 프리-코트를 위한 방법 및 장치
US5863339A (en) Chamber etching of plasma processing apparatus
KR100855597B1 (ko) 육불화황 원격 플라즈마 소스 세정
US20080230008A1 (en) Plasma species and uniformity control through pulsed vhf operation
KR101472149B1 (ko) 웨이퍼 엣지 처리 방법 및 장치
KR20020070255A (ko) 플라즈마 에칭 챔버에 대한 다단계 세정
US6897155B2 (en) Method for etching high-aspect-ratio features
US20010025645A1 (en) Apparatus for fabricating semiconductor device and method of cleaning the same
US6462483B1 (en) Induction plasma processing chamber
JP2797307B2 (ja) プラズマプロセス装置
KR20010023762A (ko) 에칭 균일성 향상 장치 및 방법
KR100672696B1 (ko) 플라즈마를 이용한 반도체 소자의 세정장치 및 방법
KR20030019973A (ko) 전원공급구조가 개선된 플라즈마 식각장치 및 그 장치의세정방법
JP4332230B2 (ja) 反応性イオンエッチング方法及び装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120927

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20130927

Year of fee payment: 15

LAPS Lapse due to unpaid annual fee