KR20110074912A - 챔버 세정을 위한 플라즈마 소오스 및 챔버 세정 방법 - Google Patents

챔버 세정을 위한 플라즈마 소오스 및 챔버 세정 방법 Download PDF

Info

Publication number
KR20110074912A
KR20110074912A KR1020117011433A KR20117011433A KR20110074912A KR 20110074912 A KR20110074912 A KR 20110074912A KR 1020117011433 A KR1020117011433 A KR 1020117011433A KR 20117011433 A KR20117011433 A KR 20117011433A KR 20110074912 A KR20110074912 A KR 20110074912A
Authority
KR
South Korea
Prior art keywords
plasma
power source
source
power
chamber
Prior art date
Application number
KR1020117011433A
Other languages
English (en)
Inventor
드미트리 루보미르스키
장규 양
퀴웨이 리앙
매튜 엘. 밀러
제임스 산토사
싱롱 첸
파울 에프. 스미스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110074912A publication Critical patent/KR20110074912A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32036AC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32045Circuits specially adapted for controlling the glow discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

기판 및 챔버 처리 장치 및 방법이 제공된다. 일 실시예에서, 기판 처리 장치가 제공되며, 상기 장치는 파워 소오스와, 상기 파워 박스에 연결되며 제 1 위치와 제 2 위치 사이에서 서로 교대가능한 스위치를 가지는 스위치 박스와, 상기 스위치 박스에 연결되는 제 1 정합 박스와, 상기 제 1 정합 박스에 연결되는 플라즈마 생성기와, 상기 스위치 박스에 연결되는 제 2 정합 박스, 및 상기 제 2 정합 박스에 연결되는 원격 플라즈마 소오스를 포함한다.

Description

챔버 세정을 위한 플라즈마 소오스 및 챔버 세정 방법 {PLASMA SOURCE FOR CHAMBER CLEANING AND PROCESS}
본 발명은 일반적으로, 반도체 제작 공정 및 장치 분야에 관한 것이며, 특히 반도체 기판을 처리하기 위한 장치에 관한 것이다. 더 구체적으로, 본 발명은 반도체 기판 처리 챔버 내에서 가스와 기판을 처리하기 위한 장치에 관한 것이다.
반도체 기판 처리 챔버는 처리 공정 중에 형성되는 반응물 또는 공정 가스로부터의 응축물에 의한 입자 생성에 민감하다. 응축물이 챔버의 다양한 구성 요소 상에 축적되면, 잔류물이 형성되어 박리(flaking) 되기 쉽다. 그와 같은 박리는 바람직하지 않은 입자(또는 오염물)들을 생성하는데, 바람직하지 않은 이유는 입자들이 처리 공정 중에 기판 상으로 표류될 수 있기 때문이다. 이들 오염물들은 계속해서 처리된 기판 내에 형성되는 소자들 내에 단락이나 공동(void)을 생성함으로써 기판의 품질을 저하시킨다.
특히, 플라즈마 강화 화학 기상 증착 공정 중에, 공정 가스는 반도체 기판, 즉 기판을 포함하는 챔버 내측으로 유입된다. 그 기판은 처리 키트(process kit)에 의해 한정된 기판 지지대 상에 지지 및 유지된다. 처리 키트는 반도체 기판 위의 가스의 분포를 제어하는데 도움을 준다. 일단 플라즈마가 형성되면, 공정 가스는 기판과 반응하여 소정의 재료 층을 증착한다. 이러한 공정 중에, 챔버의 내벽, 기판 지지대, 및 처리 키트는 전술한 잔류물, 입자, 또는 오염물에 노출된다.
잔류물의 제거는 통상적으로, 챔버의 벽에 부착되는 세정 장치로부터 챔버 내측으로 분사되는 세정제를 통해 달성된다. 상기 세정 장치는 세정제(예를 들어, 불소)를 갖는 용기를 포함한다. 세정제는 내측 챔버 벽 및 기판 지지 장치로부터 바람직하지 않은 잔류물을 에칭하는데 사용된다.
세정제는 원격 플라즈마 소오스로부터 플라즈마로서 처리 챔버로 제공될 수 있다. 추가의 파워 소오스의 추가는 처리 공정 및 장치를 더 복잡하게 할 뿐만 아니라 모든 처리 공정 및 증착 및 세정과 같은 다중 처리 공정을 수행하는 처리 챔버에 연결되는 파워 소오스에 대한 효과적인 파워 제어를 제한한다.
그러므로, 파워 어플리케이션을 더 효율적으로 제어하고 파워 어플리케이션(power application) 및 장치를 더 효율적으로 사용하는 장치 및 공정이 본 기술 분야에 필요하다.
기판 및 챔버 처리 장치 및 방법이 제공된다. 일 실시예에서, 기판 처리 장치가 제공되며, 상기 장치는 파워 소오스와, 상기 파워 박스에 연결되며 제 1 위치와 제 2 위치 사이에서 서로 교대가능한 스위치를 가지는 스위치 박스와, 상기 스위치 박스에 연결되는 제 1 정합 박스와, 상기 제 1 정합 박스에 연결되는 플라즈마 생성기와, 상기 스위치 박스에 연결되는 제 2 정합 박스, 및 상기 제 2 정합 박스에 연결되는 원격 플라즈마 소오스를 포함한다.
다른 실시예에서, 기판 처리 장치가 제공되며, 상기 장치는 돔 부분을 갖는 챔버 몸체와, 상기 챔버 몸체에 배열되는 플라즈마 생성기와, 상기 챔버 몸체에 배열되는 원격 플라즈마 소오스와, 상기 플라즈마 생성기 및 원격 플라즈마 소오스에 연결되는 스위치 박스, 및 상기 스위치 박스에 연결되는 제 1 파워 소오스를 포함하며, 상기 스위치 박스는 제 1 위치와 제 2 위치 사이에서 서로 교대가능한 스위치를 가진다.
또 다른 실시예에서, 기판 및 챔버 처리 방법이 제공되며, 상기 방법은 챔버 몸체와, 상기 챔버 몸체에 배열되는 플라즈마 소오스와, 상기 챔버 몸체에 배열되는 원격 플라즈마 소오스와, 상기 플라즈마 소오스 및 원격 플라즈마 소오스에 연결되는 제 1 및 제 2 위치를 가지는 스위치 박스, 및 상기 스위치 박스에 연결되는 제 1 파워 소오스를 포함하는 처리 챔버 내측으로 기판을 위치시키는 단계와; 상기 제 1 파워 소오스로부터의 파워를 상기 제 1 스위치 위치에 있는 스위치를 통해 상기 플라즈마 소오스의 일부분에 인가하는 단계와; 제 1 공정 가스를 상기 챔버 내측으로 공급하는 단계와; 상기 제 1 공정 가스의 제 1 플라즈마를 상기 챔버 내에 생성하는 단계와; 상기 제 1 파워 소오스로부터의 파워를 상기 제 2 스위치 위치에 있는 스위치를 통해 원격 플라즈마 소오스에 인가하는 단계와; 제 2 공정 가스를 상기 원격 플라즈마 소오스 내측으로 공급하는 단계와; 상기 제 2 공정 가스의 제 2 플라즈마를 상기 원격 플라즈마 소오스 내에 생성하는 단계; 및 제 2 공정 가스를 상기 챔버 몸체에 공급하는 단계를 포함한다.
또 다른 실시예에서, 기판 처리 장치가 제공되며, 상기 장치는 파워 생성기와, 제 1 위치와 제 2 위치 사이에서 서로 교대가능한 스위치를 갖는 스위치 박스와, 상기 스위치 박스에 연결되는 제 1 통합형 정합 박스와, 상기 제 1 통합형 정합 박스에 연결되는 고밀도 플라즈마 소오스와, 상기 스위치 박스에 연결되는 제 2 통합형 정합 박스, 및 상기 제 2 통합형 정합 박스에 연결되는 원격 플라즈마 소오스를 포함한다.
또 다른 실시예에서, 기판 및 챔버 처리 방법이 제공되며, 상기 방법은 처리 챔버 내측으로 기판을 위치시키는 단계와; 상기 제 1 파워 소오스로부터의 파워를 상기 제 1 스위치 위치에 있는 스위치를 통해 고밀도 플라즈마 소오스에 인가하는 단계와; 제 1 공정 가스를 상기 챔버 내측으로 공급하는 단계와; 상기 제 1 공정 가스의 플라즈마를 상기 챔버 내에 생성하는 단계와; 상기 챔버 내에서 플라즈마 강화 화학 기상 증착 공정을 수행하는 단계와; 상기 제 1 파워 생성기로부터의 파워를 상기 제 2 스위치 위치에 있는 스위치를 통해 원격 플라즈마 소오스에 인가하는 단계와; 제 2 공정 가스를 상기 원격 플라즈마 소오스 내측으로 공급하는 단계와; 상기 제 2 공정 가스의 제 2 플라즈마를 상기 원격 플라즈마 소오스 내에 생성하는 단계; 및 상기 플라즈마를 상기 챔버에 공급하는 단계를 포함한다.
본 발명의 전술한 특징들이 상세히 이해될 수 있는 방식으로 위에서 간단히 요약한 본 발명에 대해 일부가 첨부 도면에 도시된 실시예들을 참조하여 더욱 상세히 설명된다. 그러나, 첨부 도면은 단지 본 발명의 전형적인 실시예들만을 도시하므로, 이들 실시예들은 본 발명의 범주를 한정하는 것으로 이해해서는 안 된다.
도 1은 본 발명의 실시에 적합한 처리 챔버의 일 실시예를 도시하는 개략적인 측면도이며,
도 2는 본 발명의 일 실시예에 따른 플라즈마 생성기의 일부를 도시하는 단순화한 사시도이며,
도 3은 본 발명의 일 실시예에 따른 스위치 박스의 일 실시예를 도시하는 단순화한 차트이며,
도 4는 본 발명의 다른 실시예에 따른 플라즈마 생성기의 일부를 도시하는 단순화한 사시도이며,
도 5는 본 발명의 일 실시예에 따른 결합된 플라즈마 소오스의 일 실시예를 도시하는 단순화한 차트이다.
이해를 쉽게 하기 위해, 도면들에 있어서 공통인 동일한 구성 요소를 지칭하기 위해 가능하다면 동일한 도면 부호가 사용되었다. 일 실시예의 구성 요소 및 특징들은 추가의 언급 없이도 다른 실시예에 유리하게 병합될 수 있다고 이해해야 한다.
그러나, 첨부 도면들은 본 발명의 단지 예시적인 실시예만을 도시하므로, 첨부 도면들은 본 발명의 범주를 제한하고자 하는 것이 아니며 다른 균등하고 유효한 실시예들이 있을 수 있다고 이해해야 한다.
본 발명의 실시예들은 처리 챔버 내의 기판 상에 재료를 증착하고 증착 공정 이전 또는 이후에 처리 챔버를 세정할 수 있는 방법 및 장치를 제공한다. 상기 장치는 처리 챔버 내에서 코일을 통해 고밀도 플라즈마 증착과 같은 플라즈마 증착을 위한 파워 소오스 및 세정 가스의 플라즈마를 챔버로 제공하기 위한 원격 플라즈마 소오스를 포함한다. 양 구성 요소의 적어도 일부를 위한 파워 소오스는 스위치 박스에 의해 제어될 수 있다. 파워 소오스 및 스위치 박스의 사용으로 증착 및 세정 파워 어플리케이션, 그리고 파워 정밀도에 대한 제어를 개선하며 장치의 복잡성을 감소시킬 것이다.
일 실시예에서, 파워 소오스, 및 제 1 위치와 제 2 위치 사이로 상호 교대가능한 스위치를 갖는 스위치 박스를 포함하는 기판 처리 장치가 제공된다. 플라즈마 생성기 및 원격 플라즈마 소오스는 파워 소오스에 연결된다. 제 1 통합 정합 박스가 스위치 박스에 연결되며, 고밀도 플라즈마 소오스와 같은 플라즈마 생성기가 상기 제 1 통합 정합 박스에 연결된다. 제 2 통합 정합 박스가 스위치 박스에 연결되며, 원격 플라즈마 소오스가 제 2 통합 정합 박스에 연결된다.
도 1은 본 발명의 실시에 적합한 처리 챔버의 일 실시예를 도시하는 개략적인 사시도이다. 처리 챔버(100)는 본 발명의 일 실시예에 따른 플라즈마 증착 및 플라즈마 세정 공정을 실시하는데 이용될 수 있다. 상기 장치와 방법이 실시될 수 있는 적합한 처리 챔버에는 ULTIMA(등록상표) 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 시스템, 및 PRODUCER SE(등록상표) 처리 챔버 및 PRODUCER GT(등록상표) 처리 챔버와 같은 화학 기상 증착 처리 챔버 및 시스템의 Producer(등록상표) 시리즈가 포함되며, 이들은 모두 미국 캘리포니아 산타클라라 소재의 어플라이드 머티리얼즈 인코포레이티드로부터 상업적으로 이용가능하다. 본 발명에 기재된 방법들은 다른 제작자로부터의 것들을 포함한 다른 적합하게 개조된 플라즈마 반응로에서 실시될 수 있다고 이해해야 한다. 처리 챔버(100)는 어플라이드 머티리얼즈 인코포레이티드로부터 이용가능한 CENTURA(등록상표)와 같은 반도체 기판 처리 플랫폼에 연결된 다수의 기판 처리 시스템 중의 하나일 수 있다.
파워 소오스 및 스위치 박스에 관한 다음의 장치가 화학 기상 증착을 위한 고밀도 플라즈마 소오스와 관련하여 설명되지만, 상기 장치는 CVD 유전체 증착 공정, 금속 증착 공정, 및 원자층 증착 공정을 수행하는 장치에 사용될 수 있다.
처리 챔버(100)는 일반적으로, 챔버 몸체(102) 및 상기 챔버 몸체(102) 상에 배열되는 원격 플라즈마 소오스(110)(RPS 또는 RPS 플라즈마 소오스)를 포함한다. 특히, 챔버 몸체(102)는 기판 처리를 수행하기 위한 배기가능한 챔버를 한정하는 측벽(104), 바닥(106), 및 돔(108)을 포함한다. 상기 돔(108)은 세라믹, 석영 등과 같은 유전체 재료로 제작된다. 처리 챔버(100)는 척(114)을 갖춘 반도체 기판 지지대(112), 제 1 파워 소오스에 연결되는 전극(116), 또는 생성기(118)를 포함한다. 기판(121)은 정전 척킹, 진공 척킹 등과 같은 본 기술 분야에 공지된 척킹(chucking) 기술을 통해 척(114)의 상부 표면 에 유지된다.
공정 가스는 외부 공정 가스 소오스(도시 않음)로부터 하나 또는 그보다 많은 외부 공정 가스 소오스 포트(120a,120b)를 통해 챔버 몸체(102) 내측으로 유입된다. 증착 가스들은 처리 챔버(100)의 원주 주위에 배열될 수 있으며 챔버 측벽(104) 상에 기판 지지대(112)로부터 수직하게 배열될 수 있는 포트(120a)를 통해 유입될 수 있다. 세정 가스들은 외부 공정 가스 소오스(도시 않음)로부터 돔 포트(120b)를 통해 처리 챔버(100) 내측으로 유입될 수 있다. 이와는 달리, 증착 가스 및 세정 가스들은 하나 또는 그보다 많은 포트(120a,120b)를 통해 처리 챔버 내측으로 개별적으로 유입될 수 있다.
챔버 몸체(102) 외측의 제 2 파워 소오스 또는 생성기(119)는 처리 챔버(100)의 처리 챔버 몸체(102)의 돔(108) 위에 배열되는(돔의 상부에 배열되는) 복수의 제 1 코일(130)에, 이와는 달리 처리 챔버(100)의 처리 챔버 몸체(102)의 돔(108)을 한정하는(돔의 측면을 따라 배열되는) 복수의 제 2 코일(140)(고밀도 플라즈마 생성기 또는 소오스)에 RF 파워를 제공한다. 코일(130)과 코일(140) 모두에 인가된 파워는 분사된 가스들 근처에 고밀도 플라즈마를 생성하는데 사용되는 전기장을 형성한다.
제 2 파워 소오스(119)는 스위치 박스(135)를 통해 코일(130)에 전기 접속된다. 일체로 고정된 정합 박스와 같은 정합 박스(137)는 코일(130)과 스위치 박스(135) 사이에 배열될 수 있다. 제 3 파워 소오스(129)는 코일(140)에 연결될 수 있다. 일체로 고정된 정합 박스와 같은 정합 박스(147)는 제 3 파워 소오스(129)와 코일(140) 사이에 배열될 수 있다. 도시되지 않았지만, 제 2 스위치 박스가 정합 박스(147) 전에서 제 3 파워 소오스에 연결될 수 있다. 제 2 스위치 박스는 본 발명에서 설명한 스위치 박스(135)에 대한 설명한 것과 동일한 설계일 수 있다. 이와는 달리, 제 2 파워 소오스(119)는 스위치 박스(135)를 통해 코일(140)에 전기 접속될 수 있다.
코일(130,140)에는 동일한 파워 및 주파수가 제공될 수 있다. 코일(130,140)에는 또한, 파워 및 주파수가 독립적으로 제공될 수도 있다. 파워는 또한, 동일한 또한 상이한 파워 레벨로 각각의 코일(130,140)에 제공될 수도 있다. 예를 들어, 제 2 파워 소오스(119)는 1.9 ㎒의 주파수를 갖는 10 ㎾의 파워를 코일(130)에 제공할 수 있으며, 제 3 파워 소오스는 2.1 ㎒의 주파수를 갖는 10 ㎾의 파워를 코일(140)에 제공할 수 있다. 다른 실시예에서, 제 2 파워 소오스(119)는 1.9 내지 2.1 ㎒의 주파수를 갖는 10 ㎾의 파워를 코일(130)에 제공할 수 있으며, 제 3 파워 소오스(129)는 1.9 내지 2.1 ㎒의 주파수를 갖는 10 ㎾의 파워를 코일(140)에 제공할 수 있다.
제 2 파워 소오스(RF 소오스 플라즈마 공급원)(119)는 코일(130)에 연결되며, 상기 코일(130)은 처리 영역(170)을 포함하는 폐쇄된 제 1 토로이달 경로(torroidal path)에 순환하는 플라즈마 전류를 생성한다. RF 소오스 파워의 제 3 파워 소오스(또는 이와는 달리, 제 2 파워 소오스)(129)는 코일(140)에 연결되며, 상기 코일(140)은 상기 제 1 토로이달 경로(torroidal path)를 횡단하는(예를 들어, 직교하는) 제 2 토로이탈 경로에 순환하는 플라즈마 전류를 생성한다. 각각의 경로에 있는 플라즈마 경로는 각각의 RF 소오스 파워 생성기의 주파수에서 (예를 들어, 역방향으로)진동한다. 코일(130,140)에 의해 생성된 전기장은 가스를 고밀도 플라즈마와 같은 플라즈마 상태로 여기시킨다. 여기된 공정 가스는 소정의 코팅 및 필름(즉, 실리콘 산화물)을 형성하도록 반도체 기판(121)과 반응한다. CVD 공정의 완료시에, 과도한 공정 가스와 부산물 가스는 외부 배기 펌프(도시 않음)에 연결된 배기 포트(160)를 통해 처리 챔버(100)로부터 배기된다.
처리 챔버(100)의 파워 소오스의 대체 실시예에서, 제 2 파워 소오스(119)는 단일 스위치 박스(135) 및 통합 정합 박스(137)를 통해 코일(130,140)에 전기 접속된다. 제 2 파워 소오스(RF 소오스 플라즈마 공급원: 119)는 코일(130)에 연결되며 상기 코일(130)은 처리 영역(170)을 포함하는 폐쇄형 제 1 톨로이달 경로 내부를 순환하는 플라즈마 전류를 생성하며, RF 소오스 파워의 제 2 파워 소오스(119)는 코일(140)에 연결되며, 상기 코일은 제 1 톨로이달 경로를 횡단하는(예를 들어, 직교하는) 폐쇄형 제 2 톨로이달 경로 내부를 순환하는 플라즈마 전류를 생성한다. 상기 각각의 경로들 내의 플라즈마 전류는 제 2 파워 소오스(119)의 주파수에서 (예를 들어, 역방향으로)교류한다. 코일(130,140)에 의해 생성된 전기장은 가스를 고밀도 플라즈마와 같은 플라즈마 상태로 여기시킨다. 여기된 공정 가스는 소정의 코팅 및 필름(즉, 실리콘 산화물)을 형성하도록 반도체 기판(121)과 반응한다. CVD 공정의 완료시에, 과도한 공정 가스와 부산물 가스는 외부 배기 펌프(도시 않음)에 연결된 배기 포트(160)를 통해 처리 챔버(100)로부터 배기된다.
도 3은 스위치 박스(135)의 일 실시예를 도시한다. 스위치(133)는 일반적으로 쌍투 스위치(double throw switch)이다. 본 기술 분야의 당업자들은 전술한 연결들이 예를 들어, 단투 스위치 등을 사용하여 수행될 수 있다고 이해할 것이다. 그와 같은 스위치 박스는 본 발명에서 설명한 바와 같은 주파수 대역 또는 범위를 갖는 2 ㎒ HDP 소오스 생성기일 수 있는 제 2 파워 소오스(119)와 같은 파워 오소스로부터의 파워를 스위치 박스 내의 스위치(133)가 제 1 위치(133a)에 있을 때 코일(130 및/또는 140)에 제공하며, 또한 스위치가 제 2 위치(133b)에 있을 때 원격 플라즈마 소오스(110)에 파워를 제공한다.
코일(130,140)이 독립적인 파워 소오스라면, 본 발명에서 설명한 바와 같은 스위치 박스(135)가 각각 제 2 파워 소오스(119) 및 제 3 파워 소오스(129)용으로 사용될 수 있다. 또한, 처리 챔버(100)의 디자인 요건은 제 2 파워 소오스(119) 또는 제 3 파워 소오스(129) 중의 하나가 스위치 박스(135)에 연결될 것만을 요구하며 다른 파워 소오스는 스위치 박스에 연결되지 않는다. 이와는 달리, 단일 파워 소오스가 코일(130,140) 모두에 파워를 제공하는데 사용되면, 단일 스위치 박스(135)는 코일(130,140) 모두에 연결될 수 있다.
스위치(133)가 제 1 위치(133a)에 설정되면, 처리 챔버(100)는 고밀도 플라즈마 증착 공정과 같은 제 1 플라즈마 공정을 수행하도록 구성된다. 그와 같은 공정 중에, 공정 가스는 상기 챔버 내측으로 공급되며 제 2 파워 소오스(119)는 처리 영역(170) 내에서 공정 가스를 고밀도 플라즈마로 활성화시킨다. 코일(130,140)용으로 독립적인 파워 소오스를 사용하는 본 실시예에서, 코일(140)에 연결되는 제 3 파워 소오스(129) 또한 고밀도 플라즈마를 형성하기 위해 제공된다.
스위치(133)가 제 2 위치(133b)에 설정되면, 처리 챔버(100)는 세정 공정과 같은 제 2 플라즈마 공정을 수행하도록 구성된다. 그와 같은 공정 중에, 세정 가스는 원격 플라즈마 소오스(110)를 통해 챔버 내측으로 분배되며, 제 2 파워 소오스(119)가 RF 파워를 원격 플라즈마에 인가하여 세정 가스가 처리 영역(170) 내에서 플라즈마로 활성화된다. 기판(121)은 바람직하게 세정 공정을 시작하기 이전에 제거된다.
스위치(133)는 또한 추가의 파워 어플리케이션에 연결하기 위한 하나 또는 그보다 많은 추가 위치들(도시 않음)을 가질 수 있다. 예를 들어, 처리 챔버(100)는 코일(130,140) 및 원격 플라즈마 소오스(110)로부터 파워 분리를 제공할 수 있는 추가의 RF 파워 어플리케이션을 가질 수 있다. 추가의 위치들은 대체 파워 분배를 위해 제공될 수 있으며, 예를 들어 하나의 위치는 파워를 코일(130)에 제공할 수 있으며, 다른 위치는 파워를 코일(140)에 제공할 수 있으며, 제 3 위치는 파워를 코일(130,140) 모두에 제공할 수 있으며 또한, 상기 위치는 파워를 원격 플라즈마 소오스(110)에 제공할 수 있다.
상기 장치의 일 실시예에서, 파워가 코일(130,140) 또는 원격 플라즈마 소오스(110) 중에 하나에 제공될 때, 파워는 다른 구성 요소에 제공되지 않는다. 스위치 박스를 사용하는 하나의 파워 어플리케이션에서, 파워가 제 2 파워 소오스(119)에 의해 코일(130 및/또는 140)에 제공될 때, 파워는 원격 플라즈마 소오스(110)에 제공되지 않는다. 예를 들어, 제 2 위치에서 도 5에 도시된 것은 전기 커플링이다. 역으로, 파워가 원격 플라즈마 소오스(110)에 인가될 때, 파워는 코일(130 및/또는 140)에 제공되지 않는다.
코일(130,140)용으로 독립적인 파워 소오스를 사용하는 실시예에서, 제 2 파워 소오스(119)로부터의 파워를 코일(130)에 인가하기 위해 단일 스위치 박스가 사용될 수 있으며, 스위치 박스(135)에 연결되지 않는 제 3 파워 소오스(129)는 파워가 원격 플라즈마 소오스에 인가되지 않을 때 독립적인 파워를 코일(140)에 제공될 수 있으며, 그 후에 파워가 원격 플라즈마 소오스에 인가되고 파워가 코일(130)에 인가되지 않도록 스위치가 위치될 때 독립적인 제 3 파워 소오스에 의해 코일에 제공된 파워는 공급이 종료될 수 있다.
보다 양호한 성능을 위해, 최소 임피던스 및 길이의 전도체(예를 들어, 와이어, 동축 케이블 등)를 사용하여 위치(133a,133b)용 접점에의 연결이 제공된다. 스위치(133)는 수동으로 작동되거나, 이와는 달리 작동기(예를 들어, 솔레노이드, 선형 모터 등)에 의해 작동되며, 예를 들어 처리 챔버 제어기에 의해 제어된다. 적합한 스위치 박스에는 미국 캘리포니아 산호세 소재의 젠닝스(Jennings) 테크놀로지스로부터의 진공 스위치 박스가 포함된다.
적합한 정합 박스에는 미국 뉴욕 휴밍톤 스테이션 소재의 오브 어메리칸 테크니컬 세라믹스의 세라믹 커패시터 및 미국 캘리포니아 산호세 소재의 젠닝스 테크놀로지스, 인코포레이티드로부터의 진공 가변 커패시터가 포함된다. 정합 박스는 처리 챔버의 복조 임피던스를 RF 생성기에 의해 요구되는 저항으로 변형시키는 RF 정합(정합 네트워크 또는 튜너로도 지칭됨)를 조정하기 위해 제공된다. 정합 박스는 통상적으로, 고밀도 파워 소오스 및 원격 플라즈마 소오스에 연결되는, 또는 이들을 갖는 통합형 정합 박스이다. 정합 박스는 처리 챔버(100)의 하나 또는 그보다 많은 파워 소오스를 조정하도록 설계될 수 있으며, 예를 들어 하나의 공통의 정합 박스 또는 다중 정합 박스 시스템은 제 2 파워 소오스(119) 및 제 3 파워 소오스(129) 모두에 연결될 수 있다.
제 2 파워 소오스 또는 생성기(119)는 챔버 구성 요소에 연결되는 AC 파워 소오스일 수 있다. AC 파워 소오스는 1 ㎾ 내지 10 ㎾, 예를 들어 20 ㎾까지의 파워 소오스를 제공할 수 있으며, 처리 시스템은 통상적으로 200 mm 웨이퍼의 처리시 약 8 ㎾의 전류가 흐른다. 수행될 공정 형태 및 기판의 크기에 따라 보다 낮거나 높은 파워 레벨이 이용될 수 있다고 이해해야 한다.
AC 파워 소오스는 플라즈마 시스템의 구성 요소들의 적합한 설계에 따라, 예를 들어, 약 300 ㎑, 약 400 ㎑, 약 800 ㎑, 약 1.9 ㎒ 내지 약 2.1 ㎒, 약 10 ㎒ 및/또는 약 13.5 ㎒와 같은 약 300 ㎑ 내지 약 13.56 ㎒의 다양한 주파수에서 작동될 수 있다. 제 2 파워 소오스(119)는 각각의 플라즈마에 대한 파워 정합을 위해 ±10% 미만의 주파수 스위프(frequency sweep) 및 ±50%의 주파수 트림(frequency trim)에 따라 자동 튜닝될 수 있다. 주파수 튜닝은 구성 요소 및 플라즈마 로드의 변동을 보상하며 통상적으로 정합 박스에 의해 제어된다. 제 2 파워 소오스의 일 예는 주파수 대역을 갖는 2 ㎒ HDP 소오스 생성기이다.
제 2 파워 소오스(119)는 챔버 구조물에 직접 장착될 수 있음으로써, 코일(130,140) 또는 원격 플라즈마 소오스, 및 관련 전자기 복사선으로의 긴 리드 선을 방지할 뿐만 아니라, 긴 리드 선으로 인한 로드 편차를 감소시킬 수 있다. 각각의 코일 및 생성된 플라즈마는 작동시, 처리 챔버 내부의 톨로이달 변압기 플라즈마 소오스로서 작동하는 변압기 회로를 형성한다. 변압기의 1차 회로는 코일이며, 플라즈마는 변압기의 2차 회로로서의 역할을 한다.
챔버 몸체(102)는 전도성 재료로 형성될 수 있음으로써, 변압기 플라즈마 소오스에 의해 생성되는 전자 방출에 대한 차폐물로서의 역할을 하는데, 이는 각각의 변압기 플라즈마 소오스가 처리 챔버 내에 있기 때문이다. 이는 시스템으로부터의 원하지 않는 방출을 감소시킬 뿐만 아니라, 제 2 파워 소오스(119)가 허용불가능한 레벨의 전자 노이즈 방출을 생성하는 주파수에서 작동할 수 있게 한다. 그와 같은 실시예에서, 파워 소오스로부터 챔버로 차폐되는 리드 선을 제공하는 것이 바람직할 수 있다. 변압기 플라즈마 소오스(들)의 효율적인 커플링도 약 500 mTorr 내지 약 10 Torr와 같은 폭넓은 압력 범위에 걸쳐서 플라즈마가 생성될 수 있게 하며, 아주 다양한 전구체로부터 플라즈마를 생성할 수 있다.
제 1 파워 소오스(118), 및 선택적인 바이어스-정합 네트워크(도시 않음)를 포함하는 바이어스 시스템(150)이 기판 지지대(112)에 연결된다. 바이어스 시스템은 기판 지지대(112), 즉 기판을 공통의 접지(도시 않음)를 통해 챔버의 전도성(접지된) 내측 표면에 용량 결합한다. 상기 바이어스 시스템은 플라즈마 종이 바이어스되고 기판 표면 쪽으로 구동됨으로써 기판 표면 상에 증착되거나 스퍼터링될 때 기판(121)의 표면으로 플라즈마에 의해 생성되는 플라즈마 종(예를 들어, 반응성 이온 또는 다른 입자들)의 이송을 개선하는 역할을 한다.
제 1 파워 소오스는 예를 들어, 20 ㎾까지와 같은 1 ㎾ 내지 10 ㎾의 파워 소오스를 제공할 수 있는 AC 파워 소오스일 수 있으며, 처리 시스템에는 통상적으로 300 mm 웨이퍼를 처리할 때 약 6 내지 약 8 ㎾의 전류가 흐른다. 수행될 공정 형태 및 기판의 크기에 따라 보다 낮거나 높은 파워 레벨이 이용될 수 있다고 이해해야 한다. 제 1 파워 소오스는 플라즈마 시스템의 구성 요소들의 적합한 설계에 따라, 예를 들어, 약 300 ㎑, 약 400 ㎑, 약 800 ㎑, 약 1.9 ㎒ 내지 약 2.1 ㎒, 약 10 ㎒, 약 13.5 ㎒와 같은 약 300 ㎑ 내지 약 13.56 ㎒의 하나 또는 그보다 많은 주파수들에서 작동될 수 있다. 제 1 파워 소오스는 13.56 ㎒의 주파수를 갖는 10 ㎾에서 바이어스 파워를 기판 지지대(112)에 제공할 수 있다.
원격 플라즈마 소오스(110)는 챔버의 상부에 배열되며 포트(120b)를 통해 처리 챔버(100)에 유체 연결된다. 제 2 파워 소오스(119)는 통합 고정된 정합 박스와 같은 통합형 정합 박스(139) 및 스위치 박스(135)를 통해 원격 플라즈마 소오스(110)에 전기 접속된다. 원격 플라즈마 소오스(110)는 챔버 구성 요소들로부터 증착 잔류물을 주기적으로 세정하기 위한 선택적인 원격 플라즈마 세정 시스템으로서 실시될 수 있다. 세정 시스템은 예를 들어, 분자 불소, 3불화 질소, 다른 플루오로카본 또는 균등물을 포함하는 불소 함유 화합물과 같은 세정 가스 소오스로부터 플라즈마를 반응로 공동 내에 생성하는 원격 RF 플라즈마 생성기를 포함한다. 이러한 플라즈마로부터 유발되는 반응성 종은 포트(120b)를 통해 챔버 내측으로 이송된다.
도 4는 멀티-코어 변압기 연결된 플라즈마 생성기로도 지칭되는 원격 플라즈마 소오스(110)의 일 실시예를 도시하는 간단한 단면 사시도이다. 상기 생성기는 플라즈마 전구체(들)의 유입을 허용하는 입구(442) 및 증착 챔버 세정 공정과 같은 플라즈마 공정에 플라즈마를 제공하는 출구(444)를 가진다. 이들 명칭은 단지 설명의 목적을 위해 사용된 것이며 실제 흐름은 몇몇 실시예들에서 역방향일 수 있다. 생성기는 각각의 톨로이달 플라즈마 생성기 스테이션을 에워싸는 외측 쉘(446) 및 톨로이달 변압기 코어(450,451,452)를 에워싸는 내측 쉘(445,447,449)을 가진다. 상기 쉘은 비전도성 간극 또는 유전체 스페이서(454,455,456)가 와류를 방지하기 위해 포함되는 경우에 금속으로 형성될 수 있다. 유전체 스페이서는 코어 주위의 상이한 위치에 위치될 수 있다. 웨브(457)는 플라즈마 생성기의 외측 쉘(446)의 내측에 생성기 스테이지를 지지하는 동시에, 가스 및 플라즈마가 각각의 코어 주위로 흐를 수 있게 한다.
각각의 코어 주위의 1차 코일(도시 않음)은 전자기 에너지를 플라즈마 생성기에 연결한다. 전기 리드 선(도시 않음)은 통상적으로 코어로부터 웨브를 통해 외측 쉘의 외측으로 나온다. 내측 쉘(447)의 바닥부(458)는 내측 쉘 주위의 플라즈마의 대칭 흐름을 개선할 수 있는 형상이다. AC 전류가 플라즈마 생성 조건 하에서 플라즈마 생성기에 제공될 때, 플라즈마는 각각의 톨로이달 플라즈마 생성 스테이지(즉, 각각의 코어, 1차 코일, 및 내측 쉘)의 중앙을 통해 전후방으로 흐른다. 각각의 스테이지의 톨로이달 구성은 생성기의 중앙 부분이 더 크고 일반적으로 내측 쉘을 지나 연장하는 플라즈마 밀도 분포를 생성한다. 환언하면, 톨로이달 플라즈마 생성기는 방향성을 갖는 플라즈마를 생성하며, 특히 고농도의 플라즈마가 코어의 중심 축선을 따라 연장한다. 이러한 방향성은 이온 주입 또는 이온 밀링을 위한 소오스, 또는 플라즈마 토치 어플리케이션과 같은 몇몇 어플리케이션에서 바람직한 역할을 할 수 있다.
이와는 달리, 도 2는 본 발명의 다른 실시예에 따른 플라즈마 생성기의 일부분에 대한 간략한 단면 사시도이다. 도 2의 플라즈마 생성기도 플라즈마 토치 헤드로서 공지되어 있다.
토치 헤드(200)는 외측 노즐(202) 및 내측 노즐(204)을 포함한다. 상기 노즐로부터의 가스에 의해 형성된 플라즈마가 토치 헤드의 입구(206)로 유입되며 플라즈마 및 가스는 출구(208)로 빠져나간다. 내측 노즐(204)은 변압기 연결된 플라즈마 생성기의 톨로이달 코어(210)를 포함한다. 1차 코일(도시 않음)은 AC 파워 소오스(도시 않음)로부터의 전자기 에너지와 결합한다. 추가의 코어 및 1차 코일이 플라즈마의 방향성을 개선하기 위해 도관의 중심 축선을 따라 적층될 수 있다. 본 실시예에서, 코어는 도관에 평행한 긴 에지(209)를 갖는 필수적으로 반원형 횡단면(211)을 가진다. 1차 코일을 위한 리드 선(도시 않음)은 위의 도 4에서 도면 부호 457로 설명한 바와 같이, 웨브를 통해 안내될 수 있다. 내측 노즐은 또한, 상부 쉘(212), 유전체 스페이서(213), 및 하부 쉘(214)를 포함한다. 도관(216)은 내측 노즐을 통해 연장한다. 상부 쉘 및 하부 쉘은 적합한 금속 또는 알루미늄 합금과 같은 합금으로 형성될 수 있다.
일반적으로, 고밀도 플라즈마는 코어(210) 근처에 있는 도관 부분 내의 도관(216) 내에 형성되며, 이 경우 플라즈마 전류는 우회로(218)를 통과해 복귀한다. 전기 아크 또는 고주파수 평행 판 개시장치와 같은 플라즈마 개시장치가 플라즈마의 초기 형성을 보조하기 위한 몇몇 실시예에 사용될 수 있다. 일단 플라즈마가 개시되면, 톨로이달 변압기 연결된 플라즈마 생성기는 압력(예를 들어, 1 mTorr 내지 100 Torr) 및 유동률과 같은 폭넓은 작동 조건에 걸쳐서 플라즈마를 유지할 수 있다.
우회로(218)는 도관(216)을 통해 흐르지 않는 분리 가스 유동을 가능하게 한다. 이러한 가스 유동은 다수의 목적을 가질 수 있다. 이는 내측 노즐에 대한 냉각, 출구(208)로부터 플라즈마의 대량 이송을 제공할 수 있으며, 재결합을 감소시키기 위해 플라즈마를 희석시킬 수 있다. 일 실시예에서, 분리 가스, 예를 들어 프로판 또는 수소가 우회로를 통해 흐르는 반면에, 다른 가스, 예를 들어 산소가 도관을 통해 흐른다. 다른 실시예에서, 동일한 가스가 도관 및 우회로를 통해 흐른다. 일부 플라즈마는 변압기 코어 주위의 2차 회로를 완성하기 위해 우회로뿐만 아니라 도관 내에 있다. 테이퍼 형상의 외측 노즐은 출구(208)을 빠져나오는 캐리어 가스 및 플라즈마의 속도와 농도를 증가시킨다. 코어(210) 주위의 플라즈마의 폴로이달(poloidal) 유동은 노즐의 중심 축선을 따라 연장하는 고밀도 플라즈마를 제공한다. 플라즈마에 대한 방향성 특성은 도관에 대해 중심 축선에 놓이는 토치 헤드(200)의 출구(208)에 플라즈마를 효율적으로 제공하기 위해 가스 유동과 관련하여 작동한다. 멀티-코어 변압기 연결된 플라즈마 생성기 및 플라즈마 토치 헤드는 2004년 6월 29일자로 허여되어 명세서 및 특허청구범위와 불일치되지 않는 범위에서 본 발명에 참조된 미국 특허 제 6,755,150호에 더욱 상세히 설명되어 있다.
토치 헤드 내에 톨로이달 변압기 연결된 플라즈마 생성기를 사용하는 것은 종래의 아크형 플라즈마 토치 헤드에 비해 여러 장점들을 가진다. 첫째로, 아크형 플라즈마 생성기에는 통상적으로 수백 볼트가 흐르는데, 이는 작동자가 상기 전압과 접촉하는 경우에 죽음에 이를 수 있다. 아크 전극이 통상적으로 사용 중에 작동자에 이용될 수 없지만, 전압이 흐르는 전극에 노출되거나 고전압의 절연에 실패하면 죽음에 이르는 전기 쇼크 위험에 직면할 수 있다. 대조적으로, 톨로이달 전압기 연결된 플라즈마 생성기의 전기 부품들은 완전히 캡슐화될 수 있으며 심지어 토치 헤드의 보수유지 중에도 캡슐화를 유지할 수 있다.
둘째, AC 파워 소오스는 간단한 스텝-업/스텝-다운 변압기일 수 있으며 몇몇 어플리케이션에서는 라인 공급원의 주파수(예를 들어, 60 ㎐)에서 작동할 수 있다.
셋째, 종래의 아크형 전극은 플라즈마 및 플라즈마 전구체에 노출되어, 종종 전극 부식 또는 오염의 원인이 된다. 전극의 부식은 아크 방전에 바람직한 고전압 구배를 생성하기에 일반적으로 바람직한 전극의 지점에서 보다 많은 부식이 발생한다는 사실에 의해 심화된다. 톨로이달 변압기 연결된 플라즈마 생성기는 코어를 에워싸는 상당히 높은 표면 영역을 갖는 커버를 가짐으로써, 커버의 표면과 교차하는 강력한 필드 라인이 실질적으로 방지된다. 유사하게, 톨로이달 코어에 의해 생성되는 폴로이달 플라즈마 플럭스는 필연적으로 커버의 표면에 평행하게 연장함으로써, 코어에 대한 스퍼터링 또는 유사한 손상이 실질적으로 제거된다.
넷째, 아크 방전 생성기가 압력 및 유동에 상당히 민감하고 적당한 안정 작동 조건이 설정되지 않으면 불안정해지거나 작동 정지되지만, 변압기 연결된 플라즈마 생성기는 폭넓은 압력 및 유동률 범위에 걸쳐 작동할 수 있다.
작동시 플라즈마 전구체는 입구 단부로부터 내측 노즐의 중심을 통과하는 도관을 통해 플라즈마 토치 헤드의 출구 단부 쪽으로 유동된다. 내측 노즐은 중앙 도관 내에 플라즈마를 형성하기 위해 전구체를 이온화하는 톨로이달 플라즈마 생성기를 포함한다. 캐리어 가스는 출구 외측의 내측 노즐 내에 형성된 플라즈마의 이송에 도움을 주고 내측 노즐을 냉각시키기 위해 내측 노즐의 외측 표면과 외측 노즐의 내측 표면 사이에 형성되는 외측 통로를 통해 유동된다. 주어진 순서는 단지 예시적인 것이며, 단계들은 캐리어 가스 유동이 플라즈마 전구체 유동 이전 또는 동시에 시작되는 것과 같이 다른 순서로 수행될 수 있다.
도 1a를 다시 참조하면, 증착 및 세정 공정은 기판을 처리 챔버 내측에 위치시키며, 파워 생성기로부터의 파워를 제 1 위치에 있는 스위치를 통해 고밀도 파워 소오스에 인가하며, 공정 가스의 플라즈마를 챔버 내에 생성하며, 챔버 내에서 플라즈마 강화 화학 기상 증착 공정을 수행하며, 파워 생성기로부터의 파워를 제 2 위치에 있는 스위치를 통해 원격 파워 소오스에 인가하며, 공정 가스를 원격 플라즈마 소오스 내측으로 공급하며, 공정 가스의 플라즈마를 원격 플라즈마 소오스 내에 생성하며, 그리고 상기 플라즈마를 챔버에 공급함으로써 수행될 수 있다.
공정 가스 소오스(도시 않음)로부터 공급되는 가스성 화합물을 포함하는 증착 공정 가스가 포트(120a)를 통해 처리 영역(170)으로 유입된다. 증착 가스는 예를 들어, 재료 전구체와 같은 재료 소오스 가스와, 실란과, 암모니아 및/또는 산소 가스와 같은 도펀트 전구체, 및 선택적으로 아르곤과 같은 캐리어 가스를 포함한다. 스위치, 및 코일(130,140)에의 최종 파워의 인가는 증착 공정을 위한 스위치 박스의 제 1 위치에 놓인다.
공정 가스 소오스(도시 않음)로부터 공급되는 가스성 화합물을 포함하는 세정 공정 가스는 포트(120b)를 통해 처리 영역(170)으로 유입된다. 세정 가스는 O2, C2F5H, F2, NF3, CF4, C3F8, 또는 SF6과 같은 산소 함유 또는 불소 함유 가스, 및 이들의 조합, 그리고 선택적으로 아르곤과 같은 캐리어 가스를 포함할 수 있다. 상기 스위치, 및 원격 플라즈마 소오스(110)에 대한 인가는 세정 공정을 위한 스위치 박스의 제 2 위치에 놓인다.
본 발명의 실시예들에 대해 설명하였지만, 본 발명의 다른 추가의 실시예들이 본 발명의 기본 사상으로부터 이탈함이 없이 창안될 수 있으며, 본 발명의 범주는 다음의 특허청구범위에 의해 결정된다.

Claims (15)

  1. 기판 처리 장치로서,
    파워 소오스와,
    상기 파워 소오스에 연결되며 제 1 위치와 제 2 위치 사이에서 서로 교대가능한 스위치를 가지는 스위치 박스와,
    상기 스위치 박스에 연결되는 제 1 정합 박스와,
    상기 제 1 정합 박스에 연결되는 플라즈마 생성기와,
    상기 스위치 박스에 연결되는 제 2 정합 박스, 및
    상기 제 2 정합 박스에 연결되는 원격 플라즈마 소오스를 포함하는,
    기판 처리 장치.
  2. 제 1 항에 있어서,
    상기 파워 소오스는 약 300 ㎑ 내지 약 13.56 ㎒ 범위의 하나 또는 그보다 많은 주파수에서 작동하도록 구성되는 AC 파워 소오스인,
    기판 처리 장치.
  3. 제 1 항에 있어서,
    상기 파워 소오스는 약 1 ㎾ 내지 약 11 ㎾ 범위의 파워를 플라즈마 소오스에 인가하며, 상기 파워 소오스는 약 1 ㎾ 내지 약 11 ㎾ 범위의 파워를 원격 플라즈마 소오스에 인가하는,
    기판 처리 장치.
  4. 기판 처리 장치로서,
    돔 부분을 갖는 챔버 몸체와,
    상기 챔버 몸체에 배열되는 플라즈마 생성기와,
    상기 챔버 몸체에 배열되는 원격 플라즈마 소오스와,
    상기 플라즈마 생성기 및 원격 플라즈마 소오스에 연결되는 스위치 박스, 및
    상기 스위치 박스에 연결되는 제 1 파워 소오스를 포함하며,
    상기 스위치 박스는 제 1 위치와 제 2 위치 사이에서 서로 교대가능한 스위치를 가지는,
    기판 처리 장치.
  5. 제 4 항에 있어서,
    상기 플라즈마 생성기는 상기 돔 부분의 상부에 배열되는 복수의 제 1 코일 및 상기 돔 부분의 측면부에 배열되는 복수의 제 2 코일을 포함하는,
    기판 처리 장치.
  6. 제 5 항에 있어서,
    상기 제 1 파워 소오스는 상기 스위치가 제 1 위치에 있을 때 복수의 제 1 코일에 전기 접속되는,
    기판 처리 장치.
  7. 제 5 항에 있어서,
    상기 복수의 제 2 코일에 연결되는 제 2 파워 소오스를 더 포함하는,
    기판 처리 장치.
  8. 제 4 항에 있어서,
    상기 제 1 파워 소오스는 약 300 ㎑ 내지 약 13.56 ㎒ 범위의 하나 또는 그보다 많은 주파수에서 작동하도록 구성되는 AC 파워 소오스이며,
    상기 제 2 파워 소오스는 약 300 ㎑ 내지 약 13.56 ㎒ 범위의 하나 또는 그보다 많은 주파수에서 작동하도록 구성되는 AC 파워 소오스인,
    기판 처리 장치.
  9. 제 5 항에 있어서,
    상기 제 1 파워 소오스는 상기 스위치가 제 2 위치에 있을 때 코일의 원격 플라즈마 소오스에 전기 접속되는,
    기판 처리 장치.
  10. 제 4 항에 있어서,
    상기 스위치 박스와 상기 플라즈마 생성기의 일부 사이에 배열되는 제 1 정합 박스 및 상기 스위치 박스와 상기 원격 플라즈마 소오스 사이에 배열되는 제 2 정합 박스를 더 포함하는,
    기판 처리 장치.
  11. 기판 및 챔버 처리 방법으로서,
    챔버 몸체와, 상기 챔버 몸체에 배열되는 플라즈마 소오스와, 상기 챔버 몸체에 배열되는 원격 플라즈마 소오스와, 상기 플라즈마 소오스 및 원격 플라즈마 소오스에 연결되는 제 1 및 제 2 위치를 가지는 스위치 박스, 및 상기 스위치 박스에 연결되는 제 1 파워 소오스를 포함하는 처리 챔버 내측으로 기판을 위치시키는 단계와,
    상기 제 1 파워 소오스로부터의 파워를 상기 제 1 스위치 위치에 있는 스위치를 통해 상기 플라즈마 소오스의 일부분에 인가하는 단계와,
    제 1 공정 가스를 상기 챔버 내측으로 공급하는 단계와,
    상기 제 1 공정 가스의 제 1 플라즈마를 상기 챔버 내에 생성하는 단계와,
    상기 제 1 파워 소오스로부터의 파워를 상기 제 2 스위치 위치에 있는 스위치를 통해 원격 플라즈마 소오스에 인가하는 단계와,
    제 2 공정 가스를 상기 원격 플라즈마 소오스 내측으로 공급하는 단계와,
    상기 제 2 공정 가스의 제 2 플라즈마를 상기 원격 플라즈마 소오스 내에 생성하는 단계, 및
    제 2 공정 가스를 상기 챔버 몸체로 공급하는 단계를 포함하는,
    기판 및 챔버 처리 방법.
  12. 제 11 항에 있어서,
    상기 제 1 파워 소오스는 약 300 ㎑ 내지 약 13.56 ㎒ 범위의 하나 또는 그보다 많은 주파수에서 작동하도록 구성되는 AC 파워 소오스인,
    기판 및 챔버 처리 방법.
  13. 제 12 항에 있어서,
    상기 제 1 파워 소오스는 약 1 ㎾ 내지 약 11 ㎾ 범위의 파워를 플라즈마 소오스에 인가하거나, 상기 제 1 파워 소오스는 약 1 ㎾ 내지 약 11 ㎾ 범위의 파워를 원격 플라즈마 소오스에 인가하는,
    기판 및 챔버 처리 방법.
  14. 제 11 항에 있어서,
    상기 플라즈마 생성기는 돔 부분의 상부에 배열되는 복수의 제 1 코일 및 상기 돔 부분의 측면부에 배열되는 복수의 제 2 코일을 포함하는,
    기판 및 챔버 처리 방법.
  15. 제 14 항에 있어서,
    상기 복수의 제 코일에 연결되는 제 2 파워 소오스를 더 포함하는,
    기판 및 챔버 처리 방법.
KR1020117011433A 2008-10-21 2009-10-19 챔버 세정을 위한 플라즈마 소오스 및 챔버 세정 방법 KR20110074912A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10715408P 2008-10-21 2008-10-21
US61/107,154 2008-10-21

Publications (1)

Publication Number Publication Date
KR20110074912A true KR20110074912A (ko) 2011-07-04

Family

ID=42108917

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117011433A KR20110074912A (ko) 2008-10-21 2009-10-19 챔버 세정을 위한 플라즈마 소오스 및 챔버 세정 방법

Country Status (6)

Country Link
US (1) US20100098882A1 (ko)
JP (1) JP2012506620A (ko)
KR (1) KR20110074912A (ko)
CN (1) CN102197714A (ko)
TW (1) TW201029523A (ko)
WO (1) WO2010048076A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019156489A1 (ko) * 2018-02-08 2019-08-15 주성엔지니어링㈜ 챔버 세정 장치 및 챔버 세정 방법
US11427906B2 (en) 2018-02-08 2022-08-30 Jusung Engineering Co., Ltd. Chamber cleaning device and chamber cleaning method

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
CN103348776B (zh) * 2011-02-15 2017-06-09 应用材料公司 多区等离子体生成的方法和设备
US9171700B2 (en) * 2012-06-15 2015-10-27 COMET Technologies USA, Inc. Plasma pulse tracking system and method
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9502218B2 (en) 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
TWI670749B (zh) 2015-03-13 2019-09-01 美商應用材料股份有限公司 耦接至工藝腔室的電漿源
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10428426B2 (en) 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
EP3718129B8 (en) 2017-11-29 2023-07-19 Comet Technologies USA, Inc Retuning for impedance matching network control
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) * 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI697261B (zh) * 2018-05-22 2020-06-21 呈睿國際股份有限公司 感應耦合電漿蝕刻系統及其切換式匹配裝置
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102070544B1 (ko) * 2019-04-17 2020-01-29 주식회사 기가레인 플라즈마 안테나 및 이를 포함하는 플라즈마 처리장치
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
KR20220053547A (ko) 2019-08-28 2022-04-29 코멧 테크놀로지스 유에스에이, 인크. 고전력 저주파 코일들
US11521839B2 (en) 2019-11-27 2022-12-06 Applied Materials, Inc. Inline measurement of process gas dissociation using infrared absorption
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11854773B2 (en) * 2020-03-31 2023-12-26 Applied Materials, Inc. Remote plasma cleaning of chambers for electronics manufacturing systems
TW202143800A (zh) * 2020-05-11 2021-11-16 洪再和 分離式遠端電漿源設備
TW202143799A (zh) * 2020-05-11 2021-11-16 洪再和 具外部電漿源之半導體製程設備及其外部電漿源
US11355325B2 (en) * 2020-05-28 2022-06-07 Applied Materials, Inc. Methods and systems for monitoring input power for process control in semiconductor process systems
TW202226319A (zh) * 2020-08-31 2022-07-01 日商東京威力科創股份有限公司 電漿處理裝置及電漿處理方法
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
CN114928932A (zh) * 2022-06-16 2022-08-19 深圳市恒运昌真空技术有限公司 复合式等离子体源系统与分体式远程等离子体设备

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01100925A (ja) * 1987-10-14 1989-04-19 Hitachi Ltd プラズマ処理装置
US6545420B1 (en) * 1990-07-31 2003-04-08 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
JPH04186615A (ja) * 1990-11-16 1992-07-03 Fujitsu Ltd 半導体製造装置
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
JP3279038B2 (ja) * 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
ATE251798T1 (de) * 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6109206A (en) * 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
US6083344A (en) * 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
TW416100B (en) * 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
JPH11144894A (ja) * 1997-08-29 1999-05-28 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
US6144894A (en) * 1998-02-13 2000-11-07 Applied Materials, Inc. Method of activating a magnetron generator within a remote plasma source of a semiconductor wafer processing system
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6518190B1 (en) * 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6592710B1 (en) * 2001-04-12 2003-07-15 Lam Research Corporation Apparatus for controlling the voltage applied to an electrostatic shield used in a plasma generator
US6363624B1 (en) * 2000-11-21 2002-04-02 Applied Materials, Inc. Apparatus for cleaning a semiconductor process chamber
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US7084832B2 (en) * 2001-10-09 2006-08-01 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
US6828241B2 (en) * 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US7074298B2 (en) * 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
JP4264479B2 (ja) * 2003-03-14 2009-05-20 キヤノンアネルバ株式会社 Cvd装置のクリーニング方法
US7871490B2 (en) * 2003-03-18 2011-01-18 Top Engineering Co., Ltd. Inductively coupled plasma generation system with a parallel antenna array having evenly distributed power input and ground nodes and improved field distribution
US7595096B2 (en) * 2003-07-30 2009-09-29 Oc Oerlikon Balzers Ag Method of manufacturing vacuum plasma treated workpieces
US7042311B1 (en) * 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
KR101038204B1 (ko) * 2004-02-25 2011-05-31 주성엔지니어링(주) 플라즈마 발생용 안테나
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7571698B2 (en) * 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US7651587B2 (en) * 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
CN1942045A (zh) * 2005-09-30 2007-04-04 联华电子股份有限公司 等离子体制程稳定系统
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
US20090004873A1 (en) * 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
EP2176444A1 (en) * 2007-07-17 2010-04-21 Applied Materials, Inc. Clean rate improvement by pressure controlled remote plasma source
WO2009142911A2 (en) * 2008-05-19 2009-11-26 Applied Materials, Inc. Robust outlet plumbing for high power flow remote plasma source

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019156489A1 (ko) * 2018-02-08 2019-08-15 주성엔지니어링㈜ 챔버 세정 장치 및 챔버 세정 방법
US11427906B2 (en) 2018-02-08 2022-08-30 Jusung Engineering Co., Ltd. Chamber cleaning device and chamber cleaning method

Also Published As

Publication number Publication date
JP2012506620A (ja) 2012-03-15
WO2010048076A2 (en) 2010-04-29
US20100098882A1 (en) 2010-04-22
WO2010048076A3 (en) 2010-07-22
TW201029523A (en) 2010-08-01
CN102197714A (zh) 2011-09-21

Similar Documents

Publication Publication Date Title
KR20110074912A (ko) 챔버 세정을 위한 플라즈마 소오스 및 챔버 세정 방법
KR102467659B1 (ko) 유통 소스를 구비하는 챔버
KR101920842B1 (ko) 플라즈마 소스 디자인
US8771538B2 (en) Plasma source design
US7399707B2 (en) In situ application of etch back for improved deposition into high-aspect-ratio features
JP3701390B2 (ja) プラズマ強化化学処理反応装置
JP5086419B2 (ja) 遠隔の場所から処理チャンバへプラズマを供給する装置
US7363876B2 (en) Multi-core transformer plasma source
US6744213B2 (en) Antenna for producing uniform process rates
KR100542740B1 (ko) 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US20040237897A1 (en) High-Frequency electrostatically shielded toroidal plasma and radical source
JP4610191B2 (ja) プラズマを生成するための手順および装置
US6634313B2 (en) High-frequency electrostatically shielded toroidal plasma and radical source
JPH0770532B2 (ja) プラズマ処理装置
US20180308666A1 (en) Plasma reactor with electrode filaments extending from ceiling
US11319630B2 (en) Deposition apparatus and deposition method
JP2015050362A (ja) プラズマ処理装置
JP4149909B2 (ja) 誘導結合高密度プラズマ源
CN113496889A (zh) 蚀刻方法和等离子体处理装置
KR20050013187A (ko) 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US7659209B2 (en) Barrier metal film production method
KR101239776B1 (ko) 타깃에 인가되는 rf 소스 파워에 의한 물리 기상 증착플라즈마 반응기
TW201944514A (zh) 處理系統及處理方法
JPH10125665A (ja) プラズマプロセス用装置
KR100557674B1 (ko) 낮은 플라즈마 소스 파워를 사용하여 높은 식각 선택비를구현하는 플라즈마 식각 방법

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid