CN103348776B - 多区等离子体生成的方法和设备 - Google Patents

多区等离子体生成的方法和设备 Download PDF

Info

Publication number
CN103348776B
CN103348776B CN201180067134.XA CN201180067134A CN103348776B CN 103348776 B CN103348776 B CN 103348776B CN 201180067134 A CN201180067134 A CN 201180067134A CN 103348776 B CN103348776 B CN 103348776B
Authority
CN
China
Prior art keywords
plasma
substrate
pressure
processing region
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180067134.XA
Other languages
English (en)
Other versions
CN103348776A (zh
Inventor
马修·斯科特·罗杰斯
华仲强
克里斯托弗·S·奥尔森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103348776A publication Critical patent/CN103348776A/zh
Application granted granted Critical
Publication of CN103348776B publication Critical patent/CN103348776B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/32Plasma torches using an arc
    • H05H1/34Details, e.g. electrodes, nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

本发明的实施例提供了一种方法和设备,通过在给定压力下控制等离子体中离子与自由基的比例而对基板进行等离子体处理,以于基板上和置于其上的器件上形成薄膜。可维持给定压力以利用一等离子体源促进离子生成,并可用第二等离子体源来提供其他自由基。在一实施例中,在处理区域中生成低压等离子体,并于单独区域中生成高压等离子体,所述处理区域具有位于其中的基板。来自高压等离子体的自由基被注入到具有低压等离子体的处理区域中,因而在给定操作压力下改变自由基对离子的自然分布。所得到的处理与设备能调适离子与自由基的比例,以更好地控制在高纵横比特征结构上的薄膜形成,并因而提升角区磨圆、侧壁对底部沟槽成长的共形性,及选择性成长。

Description

多区等离子体生成的方法和设备
技术领域
本发明的实施例大体而言是关于基板的等离子体处理方法和设备,且更具体而言是关于通过使用多区等离子体生成来控制等离子体中离子与自由基的比例以在基板上或置于基板上的器件上形成薄膜。
背景技术
由于逻辑器件根据摩尔定律(Moore’s Law)而持续减少尺寸,处理上的挑战随之生成。随着此类器件的临界尺寸缩减,对于制造者而言,各种构件的几何形状也变得更具挑战性。纵横比(aspect ratio)提高且均匀性、容限度及可靠性问题激增。此外,关于角区磨圆、底部对侧部沟槽成长共形性,以及选择性成长的问题也变得显著,这些问题是受到在给定压力下等离子体内离子与自由基的自然分布的影响。因此,需要在给定压力下对等离子体中的离子与自由基的比例进行调适的方法与设备,以克服逻辑器件特定的尺寸变化的挑战。
发明内容
在本发明的一实施例中,一种用于在基板上形成薄膜的方法包括以下步骤:在第一压力下于处理腔室的处理区域中自第一气体生成第一等离子体,在第二压力下于所述处理区域外部自第二气体生成第二等离子体,将一流量的所述第二等离子体引入所述处理区域中以与所述第一等离子体混合,以及加热位于所述处理区域内的基板支座上的基板。
在另一实施例中,一种用于在基板上形成薄膜的反应器包括处理腔室、气源、射频(RF)源、远端等离子体源以及真空泵;所述处理腔室具有盖体、侧壁和基板支座,所述盖体、侧壁和基板支座经定位以形成处理区域;所述气源耦接至所述腔室且配置成输送处理气体至所述处理区域;所述RF源耦接至所述处理腔室且配置成于所述处理区域中生成所述处理气体的第一等离子体;所述远端等离子体源耦接至所述腔室且配置成输送在第一压力下生成的第二等离子体至所述处理区域,以与所述第一等离子体混合;所述真空泵耦接至所述处理腔室且配置成使所述处理区域保持处于第二压力,其中所述第一压力大于所述第二压力。所述基板支座包括加热器,所述加热器配置成对置于所述基板支座上的基板加热至至少约500℃的温度。
附图说明
为详细了解本发明的上述特征,可参照实施例来了解本发明的更具体说明(简要说明如前所述),部分实施例图示于所附的附图中。然而应注意,所附附图仅图示了本发明的典型实施例,因而不应被视为对发明范位的限制,因为本发明也允许其他的等效实施例。
图1为半导体结构的示意截面图。
图2A为根据一实施例的反应器的示意截面图。
图2B为根据另一实施例的反应器的示意截面图。
图3为根据一实施例的处理程序的方块图。
具体实施方式
本发明的实施例提供了一种用于等离子体处理基板的方法与设备,以通过在给定压力下控制等离子体中离子与自由基的比例而在基板上及置于基板上的器件上形成薄膜。可维持给定压力以利用一个等离子体源促进离子产生,并可使用第二等离子体源来提供其他的自由基。在一实施例中,在处理区域中生成低压等离子体,并在单独区域中生成高压等离子体,所述处理区域具有位于所述处理区域中的基板。来自高压等离子体的自由基被注入到具有低压等离子体的处理区域中,因而在给定操作压力下改变自由基对离子的自然分布。所得到的处理和设备能够调适离子与自由基的比例,以更好地控制在高纵横比特征结构上形成薄膜,并因而提升角区磨圆、侧壁对底部沟槽成长的共形性,以及选择性成长。
图1是半导体结构100的示意截面图。结构100可包括基板110,所述基板100上设置有多个特征结构120。基板110可包含诸如结晶硅、氧化硅、锗化硅、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶圆、图案化或未经图案化的硅晶圆、掺碳的氧化硅、氮化硅、砷化镓、玻璃、蓝宝石等之类的材料。
在一实施例中,特征结构120为薄膜堆叠,所述薄膜堆叠需要在上方形成薄膜,例如氧化物或氮化物。举例而言,特征结构120可以是栅极堆叠的闪存单元,所述闪存单元包括以下的层中的一个或多个:隧道氧化物层、浮动栅极层、单层或多层的介电层,以及控制栅极层。
特征结构120可为高纵横比的特征结构,在特征结构之间形成有沟槽130。每一沟槽130是由侧壁132、134与底壁136所界定。当在具有高纵横比特征结构120的结构100上形成薄膜时,难以维持侧壁132、134以及底壁136上的共形成长。此外,角区磨圆有利于避免特征结构120上的尖锐角区,以及避免在沟槽130的顶侧处的特征结构120上成长的薄膜的“夹断”(pinching off)。
当在所希望的压力下对结构100进行等离子体处理时,产生了给定数量的离子150(即带电原子)与自由基160(即中性原子)。也就是说,离子150与自由基160的比例依赖于压力。已发现离子150与自由基160的方向性也依赖于所使用的压力。举例而言,在底部特征结构例如底壁136上成长希望是低压(例如低于500毫托耳),因为当处理压力高时,离子150与自由基160会碰撞并被推至侧壁132、134,而不会抵达底壁136。
然而,由于离子的自然电荷之故,在低压等离子体中的离子150会朝向施加有偏压的基板110而加速,此加速导致在底壁136处的薄膜成长比在侧壁132、134处更多。因此,离子150基于通孔与压力两者而呈现方向性,而自由基160(所述自由基160为中性)不呈现相同的方向性。因此,在某些实施例中,在高纵横比的特征结构上成长薄膜时,为了更好地控制共形性与角区磨圆,增加处理区域中自由基160的数量而不提高压力是所希望的。
图2A为根据本发明一实施例的反应器200的示意截面图。反应器包括腔室210,腔室210具有圆柱形侧壁212和顶篷214,顶篷214可为穹顶形(如图所示)、平板形,或其他几何形状中的任一种。在顶篷214上方可设置等离子体源功率施加器,所述等离子体源功率施加器具有线圈天线216且经由第一阻抗匹配网路218而耦接至功率源。功率源可具有RF功率产生器220以及栅极222,所述栅极222在功率产生器220的输出处。
反应器200还可包括基板支座平台226,所述基板支座平台226可为用于固持基板110(例如200mm或300mm的晶圆等)的静电夹盘或其他适合的基板支座。加热器234置于基板支座平台226内,加热器234可以是单区或多区加热器,例如具有径向内部和外部加热元件234a和234b的双径向区加热器,如图2A所示。在一实施例中,加热器234配置成加热基板110至约500℃或更高的温度,例如约700℃或更高的温度。
基板支座平台226是由杆体290所支撑。杆体290容纳加热器234的线路292以及位于平台226内的电极(未图示),所述电极用于对基板110施加偏压,以处理(例如电容式等离子体处理)和/或夹持所述基板110。在一实施例中,致动器组件295加装至所述杆体290,且致动器装置295配置成在处理期间旋转所述平台226并由此旋转位于平台226上的基板110,以提供更均匀的处理,特别是在使用图2B(以下说明)所示的处理配置时。
此外,反应器200包括气体注入系统228以及真空泵230,所述真空泵230耦接至腔室210的内部。气体注入系统228由气源所供应,所述气源可包括氧贮罐232、氢贮罐262和/或氮贮罐270。也可包括其他的处理气源,例如水蒸汽源和惰性气源(未图示)。流量控制阀266、264与268可分别耦接至氧贮罐232、氢贮罐262和氮贮罐270,且所述流量控制阀266、264与268可用以在处理期间选择性地对腔室210的处理区域239提供处理气体或处理气体混合物。也可设有用于提供其他气体或气体混合物的其他气源(未图示)。腔室210内部的压力可通过真空泵230的节流阀238予以控制。在一实施例中,真空泵230与节流阀238配置成将腔室210内部的压力控制在约500毫托耳或更低的压力下,例如约150毫托耳或更低。
另一气体注入系统229流体耦接至远端等离子体源280,例如微波式、电容耦接式,或电感耦接式的远端等离子体源。在一实施例中,远端等离子体源配置成在约1托耳或更高的压力下生成等离子体。气体注入系统229由气源所供应,所述气源可包括氧贮罐233、氢贮罐263和/或氮贮罐271。流量控制阀267、265与269可分别耦接至氧贮罐233、氢贮罐263与氮贮罐271,且所述流量控制阀267、265与269可用以选择性地对远端等离子体源280提供处理气体或处理气体混合物。
在一实施例中,如图2A所示,气体注入系统229包括喷淋头288,所述喷淋头288具有多个孔口以从远端等离子体源280均匀地将等离子体引入腔室210的处理区域239中。然而,也可以替代为经由图2B所示的单一孔口289而引入等离子体。
图2B是根据另一实施例的反应器200的示意截面图。图2B中所示的反应器200与图2A中的反应器200相同,除了气体注入系统229的位置以外。在图2A中所示的气体注入系统229经定位以从远端等离子体源280经由腔室210的顶篷214将等离子体引入处理区域239中;图2B中所示的气体注入系统229经定位以从远端等离子体源280经由侧壁212中的单一孔口289将等离子体引入处理区域239中。
氧贮罐232、233可容纳含氧气体,例如氧(O2)、臭氧(O3)、一氧化二氮(N2O),或一氧化氮(NO)。氢贮罐262、263可容纳含氢气体,例如氢气(H2)。氮贮罐270、271可包含含氮气体,例如氮气(N2)、氨(NH3),或联氨(N2H4)。
图3为根据本发明一实施例的处理程序300的方块图,所述处理程序300用于在基板(例如基板110)上形成薄膜。在一实施例中,在处理程序300的方块310中,先将上面形成有特征结构120的基板110定位于基板平台226上。基板110可经由位于腔室210的侧壁212内的狭缝阀(未图示)而传送至腔室210中。在方块320中,处理气体可经由气体注入系统228而输送至处理区域239中。在希望氧化处理的实施例中,含氧气体可从氧贮罐232供应,而含氢气体可从氢贮罐262供应。在希望氮化处理的另一实施例中,含氮气体可由氮贮罐270供应,而含氢气体可由氢贮罐262供应。在方块330中,供应至处理区域239的气体或气体混合物在低压力(例如约500毫托耳或更低)下在处理区域239内被激发为等离子体。
在方块340中,处理气体可输送至远端等离子体源280。在希望氧化处理的实施例中,含氧气体可由氧贮罐233供应,而含氢气体可由氢贮罐263供应。在希望氮化处理的另一实施例中,含氮气体可由氮贮罐271供应,而含氢气体可由氢贮罐263所供应。在方块350中,气体或气体混合物在高压力(例如约1托耳或更高)下在远端等离子体源280内被激发为等离子体。
在方块360中,在远端等离子体源280内生成的等离子体被引入处理区域239中。由于在远端等离子体源280内产生的等离子体是在高压下生成,且由于所产生之自由基数量比在这种此等高压下生成的离子数量高出许多,所以从远端等离子体源280引入的等离子体具有极高的自由基与离子的比例。因此,由远端等离子体源280引入的过剩的自由基与在处理区域239中生成的等离子体混合。此外,由于处理区域239中的压力处于所希望的低压力下,故离子的方向性得以维持而不对等离子体混合物中的自由基的方向性有不利影响。所得到的处理程序能够在给定操作压力下改变自由基与离子的自然分布,进而能够调适离子与自由基的比例,以更好地控制角区磨圆、侧壁对底壁成长,以及选择性成长。
尽管前述说明是针对本发明的实施例,但可推知本发明的其他的和进一步的实施例而不背离本发明的基本范围,本发明的基本范围是由下述权利要求所决定。

Claims (15)

1.一种用于在基板上形成薄膜的方法,所述方法包括以下步骤:
在第一压力下,于处理腔室的处理区域中自第一气体生成第一等离子体;
在第二压力下,于所述处理区域的外部自第二气体生成第二等离子体;
将一流量的所述第二等离子体引入所述处理区域中,以与所述第一等离子体混合;以及
加热所述基板,所述基板位于所述处理区域内的基板支座上,其中所述第一压力低于所述第二压力。
2.如权利要求1的方法,其中所述第一压力为500毫托耳或更低。
3.如权利要求2的方法,其中所述第二压力为至少1托耳。
4.如权利要求1的方法,其中所述第一等离子体为感应生成。
5.如权利要求4的方法,其中所述第二等离子体由远端等离子体源所生成。
6.如权利要求1的方法,其中所述第二等离子体是经由单一孔口而引入所述处理区域中。
7.如权利要求1的方法,其中所述第二等离子体是经由气体分配板而引入所述处理区域中。
8.如权利要求1的方法,其中所述基板加热至至少500℃。
9.如权利要求1的方法,进一步包括以下步骤:在所述处理区域内旋转所述基板。
10.一种用于在基板上形成薄膜的反应器,所述反应器包括:
处理腔室,所述处理腔室具有盖体、侧壁和基板支座,所述盖体、侧壁和基板支座经定位以形成处理区域,其中所述基板支座包括加热器,所述加热器配置成加热置于所述基板支座上的基板达至少500℃的温度;
气源,所述气源耦接至所述腔室且配置成输送处理气体至所述处理区域中;
射频源,所述射频源耦接至所述处理腔室且配置成在所述处理区域中生成所述处理气体的第一等离子体;
远端等离子体源,所述远端等离子体源耦接至所述腔室且配置成输送在第一压力下生成的第二等离子体至所述处理区域中以与所述第一等离子体混合;以及
真空泵,所述真空泵耦接至所述处理腔室且配置成使所述处理区域保持处于第二压力,其中所述第一压力大于所述第二压力。
11.如权利要求10的反应器,其中所述第二等离子体是经由单一孔口而输送至所述处理区域。
12.如权利要求10的反应器,进一步包括喷淋头,所述喷淋头位于所述处理腔室中,其中所述第二等离子体是经由所述喷淋头而输送至所述处理区域。
13.如权利要求10的反应器,进一步包括致动器,所述致动器耦接至所述基板支座且配置成在处理期间旋转所述基板支座。
14.如权利要求10的反应器,其中所述第二压力为500毫托耳或更低。
15.如权利要求14的反应器,其中所述第一压力为至少1托耳。
CN201180067134.XA 2011-02-15 2011-07-27 多区等离子体生成的方法和设备 Active CN103348776B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161443066P 2011-02-15 2011-02-15
US61/443,066 2011-02-15
PCT/US2011/045626 WO2012112187A1 (en) 2011-02-15 2011-07-27 Method and apparatus for multizone plasma generation

Publications (2)

Publication Number Publication Date
CN103348776A CN103348776A (zh) 2013-10-09
CN103348776B true CN103348776B (zh) 2017-06-09

Family

ID=46637221

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180067134.XA Active CN103348776B (zh) 2011-02-15 2011-07-27 多区等离子体生成的方法和设备

Country Status (5)

Country Link
US (1) US9809881B2 (zh)
KR (1) KR101893471B1 (zh)
CN (1) CN103348776B (zh)
TW (1) TWI527928B (zh)
WO (1) WO2012112187A1 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103348776B (zh) * 2011-02-15 2017-06-09 应用材料公司 多区等离子体生成的方法和设备
US8846509B2 (en) 2011-11-15 2014-09-30 Applied Materials, Inc. Remote radical hydride dopant incorporation for delta doping in silicon
US10497606B2 (en) * 2015-02-09 2019-12-03 Applied Materials, Inc. Dual-zone heater for plasma processing
CN106463324B (zh) 2015-03-19 2019-01-11 马特森技术有限公司 控制等离子体处理室中的蚀刻工艺的方位角均匀性
KR20180094109A (ko) * 2016-01-07 2018-08-22 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 소스 및 dc 전극을 구비하는 원자 층 에칭 시스템
JP6753678B2 (ja) * 2016-03-25 2020-09-09 株式会社日立ハイテクサイエンス 荷電粒子ビーム装置及びプラズマ点火方法
CN105753107B (zh) * 2016-05-17 2018-06-19 南京苏曼等离子科技有限公司 一种水下脉冲旋转滑动弧低温等离子污水处理装置
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
KR20210019573A (ko) 2018-07-05 2021-02-22 램 리써치 코포레이션 기판 프로세싱 시스템에서 기판 지지부의 동적 온도 제어
WO2020051063A2 (en) * 2018-09-05 2020-03-12 Tokyo Electron Limited Surface modification process
WO2020131793A1 (en) * 2018-12-20 2020-06-25 Mattson Technology, Inc. Silicon mandrel etch after native oxide punch-through
KR20210047808A (ko) * 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20220148735A (ko) * 2021-04-29 2022-11-07 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템 및 반응기 시스템을 세정하기 위한 방법
TW202311552A (zh) * 2021-09-02 2023-03-16 大陸商盛美半導體設備(上海)股份有限公司 薄膜沉積裝置、薄膜沉積方法及薄膜沉積設備

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1965612A (zh) * 2004-03-22 2007-05-16 斗山Dnd股份有限公司 基板沉积方法和有机材料沉积装置
CN101418438A (zh) * 2007-10-22 2009-04-29 应用材料股份有限公司 通过来自乙硅烷前体的远程等离子体cvd的高质量氧化硅膜
CN101473426A (zh) * 2006-06-22 2009-07-01 应用材料股份有限公司 用于从下向上填充间隙的介电材料沉积与回蚀方法
CN101809715A (zh) * 2007-09-27 2010-08-18 东京毅力科创株式会社 用于制造负离子等离子体的处理系统
CN101921998A (zh) * 2009-06-16 2010-12-22 亚洲太阳科技有限公司 可提升薄膜太阳能电池均匀性的pecvd装置及方法

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3353514B2 (ja) * 1994-12-09 2002-12-03 ソニー株式会社 プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
KR20010087598A (ko) * 2000-03-08 2001-09-21 황 철 주 Hdp-cvd 장치 및 이를 이용한 갭 필링 방법
KR20010096229A (ko) * 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6821910B2 (en) 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US20030013314A1 (en) * 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
KR100433006B1 (ko) * 2001-10-08 2004-05-28 주식회사 플라즈마트 다기능 플라즈마 발생장치
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US6727134B1 (en) * 2002-11-05 2004-04-27 Taiwan Semiconductor Manufacturing Company Method of forming a nitride gate dielectric layer for advanced CMOS devices
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US20040161536A1 (en) * 2003-02-14 2004-08-19 Applied Materials, Inc. Method for depositing a low-k material having a controlled thickness range
KR100797498B1 (ko) * 2003-06-27 2008-01-24 동경 엘렉트론 주식회사 플라즈마 발생 방법, 클리닝 방법 및 기판 처리 방법
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
KR100580584B1 (ko) * 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
US20060251795A1 (en) * 2005-05-05 2006-11-09 Boris Kobrin Controlled vapor deposition of biocompatible coatings for medical devices
US7163877B2 (en) * 2004-08-18 2007-01-16 Tokyo Electron Limited Method and system for modifying a gate dielectric stack containing a high-k layer using plasma processing
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
KR100725037B1 (ko) * 2005-01-21 2007-06-07 세메스 주식회사 반도체 플라즈마 처리 장치 및 방법
US7141514B2 (en) * 2005-02-02 2006-11-28 Applied Materials, Inc. Selective plasma re-oxidation process using pulsed RF source power
US7214628B2 (en) * 2005-02-02 2007-05-08 Applied Materials, Inc. Plasma gate oxidation process using pulsed RF source power
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US20080011426A1 (en) * 2006-01-30 2008-01-17 Applied Materials, Inc. Plasma reactor with inductively coupled source power applicator and a high temperature heated workpiece support
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
KR100819096B1 (ko) * 2006-11-21 2008-04-02 삼성전자주식회사 Peox공정을 진행하는 반도체 제조설비의 리모트 플라즈마를 이용한 세정방법
US20080179287A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal with wafer front side gas purge
US7552736B2 (en) * 2007-01-30 2009-06-30 Applied Materials, Inc. Process for wafer backside polymer removal with a ring of plasma under the wafer
US7967996B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Process for wafer backside polymer removal and wafer front side photoresist removal
US7807579B2 (en) * 2007-04-19 2010-10-05 Applied Materials, Inc. Hydrogen ashing enhanced with water vapor and diluent gas
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US8142606B2 (en) * 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US20090095714A1 (en) * 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US7588957B2 (en) * 2007-10-17 2009-09-15 Applied Materials, Inc. CVD process gas flow, pumping and/or boosting
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US7732759B2 (en) * 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
US7699935B2 (en) * 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US7972968B2 (en) * 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
CN102197714A (zh) * 2008-10-21 2011-09-21 应用材料股份有限公司 清洁腔室及工艺所用的等离子体源
US8236706B2 (en) * 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
US8435906B2 (en) 2009-01-28 2013-05-07 Applied Materials, Inc. Methods for forming conformal oxide layers on semiconductor devices
WO2010102125A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8043981B2 (en) * 2009-04-21 2011-10-25 Applied Materials, Inc. Dual frequency low temperature oxidation of a semiconductor device
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US20110033638A1 (en) * 2009-08-10 2011-02-10 Applied Materials, Inc. Method and apparatus for deposition on large area substrates having reduced gas usage
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20120171852A1 (en) * 2009-09-04 2012-07-05 Applied Materials, Inc Remote hydrogen plasma source of silicon containing film deposition
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110189860A1 (en) * 2010-02-02 2011-08-04 Applied Materials, Inc. Methods for nitridation and oxidation
US8227344B2 (en) * 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9309594B2 (en) * 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US20110265884A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US8721798B2 (en) * 2010-04-30 2014-05-13 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US8343881B2 (en) * 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US20120000490A1 (en) * 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for enhanced processing chamber cleaning
TW201213601A (en) * 2010-09-16 2012-04-01 Ind Tech Res Inst Apparatus and control method for plasma enhanced atomic layer deposition
US20120103524A1 (en) * 2010-10-28 2012-05-03 Applied Materials, Inc. Plasma processing apparatus with reduced effects of process chamber asymmetry
CN103348776B (zh) * 2011-02-15 2017-06-09 应用材料公司 多区等离子体生成的方法和设备

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1965612A (zh) * 2004-03-22 2007-05-16 斗山Dnd股份有限公司 基板沉积方法和有机材料沉积装置
CN101473426A (zh) * 2006-06-22 2009-07-01 应用材料股份有限公司 用于从下向上填充间隙的介电材料沉积与回蚀方法
CN101809715A (zh) * 2007-09-27 2010-08-18 东京毅力科创株式会社 用于制造负离子等离子体的处理系统
CN101418438A (zh) * 2007-10-22 2009-04-29 应用材料股份有限公司 通过来自乙硅烷前体的远程等离子体cvd的高质量氧化硅膜
CN101921998A (zh) * 2009-06-16 2010-12-22 亚洲太阳科技有限公司 可提升薄膜太阳能电池均匀性的pecvd装置及方法

Also Published As

Publication number Publication date
US20120208371A1 (en) 2012-08-16
KR20140009370A (ko) 2014-01-22
WO2012112187A1 (en) 2012-08-23
KR101893471B1 (ko) 2018-08-30
US9809881B2 (en) 2017-11-07
TWI527928B (zh) 2016-04-01
CN103348776A (zh) 2013-10-09
TW201233843A (en) 2012-08-16

Similar Documents

Publication Publication Date Title
CN103348776B (zh) 多区等离子体生成的方法和设备
US8623468B2 (en) Methods of fabricating metal hard masks
US20190185996A1 (en) Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3d nand memory devices
CN101523573B (zh) 等离子体成膜装置和等离子体成膜方法
US20110236600A1 (en) Smooth Silicon-Containing Films
TWI307119B (en) Plasma doping method
KR100927508B1 (ko) 저온에서의 고밀도 플라즈마 hdp-cvd에 의한 비정질 실리콘막의 증착
US20140357064A1 (en) Tensile stressed doped amorphous silicon
TW201405634A (zh) 成膜方法、成膜裝置及成膜系統
US11823901B2 (en) System and method for radical and thermal processing of substrates
WO2008059827A1 (fr) Procédé de dopage de plasma
US11361945B2 (en) Plasma processing apparatus, processing system, and method of etching porous film
CN107818905A (zh) 半导体器件的制造方法、衬底处理装置及记录介质
US10692717B2 (en) Minimization of carbon loss in ALD SiO2 deposition on hardmask films
US20210320004A1 (en) Nitride films with improved etch selectivity for 3d nand integration
US20240167153A1 (en) In-situ film annealing in substrate processing
US20150093886A1 (en) Plasma processing method and plasma processing apparatus
TW202240672A (zh) Ⅲ族氮化物半導體的製造方法以及ⅲ族氮化物半導體的製造裝置
TW202311553A (zh) Ⅲ族氮化物半導體的製造方法
TW202233877A (zh) 低k碳氮化硼薄膜
WO2023215301A1 (en) Silicon-and-carbon-containing materials with low dielectric constants
JPH1079374A (ja) レジスト層の除去方法およびその装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant