TWI527928B - 多區電漿生成之方法及設備 - Google Patents

多區電漿生成之方法及設備 Download PDF

Info

Publication number
TWI527928B
TWI527928B TW100127099A TW100127099A TWI527928B TW I527928 B TWI527928 B TW I527928B TW 100127099 A TW100127099 A TW 100127099A TW 100127099 A TW100127099 A TW 100127099A TW I527928 B TWI527928 B TW I527928B
Authority
TW
Taiwan
Prior art keywords
plasma
pressure
substrate
processing
processing region
Prior art date
Application number
TW100127099A
Other languages
English (en)
Other versions
TW201233843A (en
Inventor
羅吉斯馬修史考特
華仲強
奧森克里斯多夫S
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201233843A publication Critical patent/TW201233843A/zh
Application granted granted Critical
Publication of TWI527928B publication Critical patent/TWI527928B/zh

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/32Plasma torches using an arc
    • H05H1/34Details, e.g. electrodes, nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Description

多區電漿生成之方法及設備
本發明之實施例大體而言係關於基板的電漿處理方法和設備,且更特定言之係關於藉由使用多區電漿生成控制電漿中離子與自由基之比例、藉以在基板上或置於基板上之元件上之薄膜成形。
由於邏輯元件持續根據摩爾定律(Moore’s Law)而減少尺寸,處理上的挑戰係隨之生成。隨著此類元件的臨界尺寸縮減,對於製造者而言,各種構件的幾何形狀亦變得更具挑戰性。深寬比提高且均勻性、容限度及可靠性問題激增。此外,關於角區磨圓、底部對側部溝槽成長共形性,以及選擇性成長的問題亦會變得顯著,該等問題是受到在既定壓力下電漿內離子與自由基之本質分配所影響。因此,需要可在既定壓力下對電漿中之離子與自由基之比例進行調適的方法與設備,以克服邏輯元件特定的尺寸變化之挑戰。
在本發明之一實施例中,一種用於在基板上形成薄膜的方法包含了以下步驟:在第一壓力下於處理腔室的處理區域中自第一氣體生成第一電漿,在第二壓力下於該處理區域外部自第二氣體生成第二電漿,將一流量之該第二電漿注入該處理區域中以與該第一電漿混合,以及加熱位於該處理區域內一基板支座上之基板。
在另一實施例中,一種用於在基板上形成薄膜之反應器包含處理腔室、氣源、射頻(RF)源、遠端電漿源以及真空泵;該處理腔室具有蓋體、側壁與基板支座,該基板支座係經定位以形成處理區域;該氣源係耦接至該腔室且配置以輸送處理氣體至該處理區域;該RF源係耦接至該處理腔室且配置以於該處理區域中生成該處理氣體之第一電漿;該遠端電漿源係耦接至該腔室且配置以輸送第二電漿至該處理區域,以與該第一電漿混合,該第二電漿係於第一壓力下生成;該真空泵係耦接至該處理腔室且配置以使該處理腔室保持處於第二壓力,其中該第一壓力係大於該第二壓力。該基板支座包含加熱器,該加熱器係配置以對置於該基板支座上之基板加熱至至少約500℃之溫度。
本發明之實施例提供了一種用於電漿處理基板之方法與設備,以藉由在既定壓力下控制電漿中離子與自由基之比例而在基板上及置於基板上之元件上形成薄膜。可維持一既定壓力以利用一電漿源促進離子生成,並使用第二電漿源來提供其他自由基。在一實施例中,係於處理區域中生成低壓電漿,並於獨立區域中生成高壓電漿,該處理區域具有位於該處理區域中之基板。來自高壓電漿中的自由基被注入到具有低壓電漿的處理區域中,因而在既定操作壓力下調整自由基對離子的本質分配。所生成之處理與設備可調適離子與自由基之比例,以更良好地控制在高深寬比特徵結構上之薄膜形成,並因而提升角區磨圓、側壁對底部溝槽成長之共形性,以及選擇性成長。
第1圖是半導體結構100的示意截面圖。結構100可包含基板110,該基板100上配置有複數個特徵結構120。基板110可包含一材料,該材料例如結晶矽、氧化矽、鍺化矽、摻雜或未摻雜之多晶矽、摻雜或未摻雜之矽晶圓、圖樣化或未經圖樣化之矽晶圓、摻碳之氧化矽、氮化矽、砷化鎵、玻璃、藍寶石等。
在一實施例中,特徵結構120為薄膜堆疊物,該等薄膜堆疊物需要在上方形成薄膜(例如氧化物或氮化物)。舉例而言,特徵結構120係一閘極堆疊之快閃記憶體單元,該快閃記憶體單元包含穿隧氧化物層、浮動閘極層、單層或多層之介電層,以及控制閘極層中之一或多者。
特徵結構120可為高深寬比之特徵結構,在特徵結構之間形成有溝槽130。每一溝槽130是由側壁132、134與底壁136所限定。當在具有高深寬比特徵結構120之結構100上形成薄膜時,難以維持側壁132、134以及底壁136上的共形成長。此外,角區磨圓有利於避免特徵結構120上之尖銳角區,以及避免在溝槽130的頂側處之特徵結構120上成長之薄膜的「夾斷」(pinching off)。
當於所需壓力下對結構100進行電漿處理時,係產生一既定數量之離子150(亦即帶電原子)與自由基160(亦即中性原子)。亦即,離子150對於自由基160之比例係與壓力有關。已發現離子150與自由基160的方向性亦與所使用之壓力有關。舉例而言,於底部特徵結構(例如底壁136)上成長係需要低壓(例如低於500毫托耳),因為當處理壓力為高時,離子150與自由基160會碰撞並被推至側壁132、134,而不會抵達底壁136。
然而,由於離子的本質電荷之故,在低壓電漿中的離子150會朝向施加有一偏壓之基板110而加速,此加速導致在底壁136處之薄膜成長比在側壁132、134處更多。因此,離子150基於通孔與壓力兩者而呈現方向性,而自由基160(該自由基160為中性)不會呈現相同的方向性。因此,在某些實施例中,在高深寬比之特徵結構上成長薄膜時,為了更佳地控制共形性與角區磨圓,需要增加處理區域中自由基160的數量,而不提高壓力。
第2A圖為根據本發明一實施例之反應器200的示意截面圖。反應器包含腔室210,腔室210具有圓柱側壁212與頂篷214,頂篷214可為圓蓋形(如圖所示)、平板形,或其他幾何形狀中任一種。在頂篷214上方設置有電漿源功率施加器,該電漿源功率施加器具有線圈天線216且經由第一阻抗匹配網路218而耦接至功率源。功率源具有RF功率產生器220以及閘極222,該閘極222在功率產生器220輸出處。
反應器200可包含基板支座平台226,該基板支座平台226可為用於固持基板110(例如200 mm或300 mm之晶圓等)之靜電夾盤或其他適合的基板支座。加熱器234係置於基板支座平台226內,加熱器234係單區或多區加熱器,例如雙徑向區加熱器,該雙徑向區加熱器具有徑向內部與外部加熱元件234a與234b,如第2A圖所示。在一實施例中,加熱器234係配置以加熱基板110至約500℃或更高之溫度,例如約700℃或更高之溫度。
基板支座平台226係由桿體290所支撐。桿體290容納加熱器234之線路292與位於平台226內之電極(未圖示),電極係用於對基板110施加偏壓,以處理(例如電容式電漿處理)及/或夾持該基板110。在一實施例中,致動器裝置295係加裝至該桿體290,且致動器裝置295係配置以於處理期間旋轉該平台226以及位於平台226上之基板110,以提供更均勻之處理,特別是在使用第2B圖(以下說明)所示之處理配置時。
此外,反應器200包含氣體注入系統228以及真空泵230,該真空泵230耦接至腔室210的內容積。氣體注入系統228是由氣源所供應,該氣體注入系統228包含氧貯罐232、氫貯罐262及/或氮貯罐270;亦可包含其他處理氣源,例如水蒸汽源與惰性氣源(未圖示)。流量控制閥266、264與268係分別耦接至氧貯罐232、氫貯罐262與氮貯罐270,且該流量控制閥266、264與268用以在處理期間選擇性地對腔室210的處理區域239提供處理氣體或處理氣體混合物,亦可設有用於提供其他氣體或氣體混合物之其他氣源(未圖示)。腔室210內部的壓力可藉由真空泵230的節流閥238予以控制。在一實施例中,真空泵230與節流閥238係配置以控制腔室210內部的壓力達一壓力,該壓力約500毫托耳(或更低),例如約150毫托耳或更低。
另一氣體注入系統229係流體耦接至遠端電漿源280,例如微波式、電容耦接式,或電感耦接式之遠端電漿源。在一實施例中,遠端電漿源係配置以於約1托耳(或更高)之壓力下生成電漿。氣體注入系統229係由氣源所供應,該氣體注入系統229包含氧貯罐233、氫貯罐263及/或氮貯罐271。流量控制閥267、265與269係分別耦接至氧貯罐233、氫貯罐263與氮貯罐271,且該流量控制閥267、265與269用以選擇性地對遠端電漿源280提供處理氣體或處理氣體混合物。
在一實施例中,如第2A圖所示,氣體注入系統229包含噴淋頭288,噴淋頭288具有複數個孔口以從遠端電漿源280均勻注入電漿至腔室210的處理區域239中。然而,亦可以經由第2B圖所示之單一孔口289而注入電漿。
第2B圖是根據另一實施例之反應器200的示意截面圖。第2B圖中所示之反應器200與第2A圖中的反應器200相同,除了氣體注入系統229的位置以外。在第2A圖中所示之氣體注入系統229係經定位以從遠端電漿源280注入電漿、使電漿通過腔室210的頂篷214而至處理區域239中;第2B圖中所示之氣體注入系統229係經定位以從遠端電漿源280注入電漿、使電漿通過側壁212中的單一孔口289而至處理區域239中。
氧貯罐232、233係容納含氧氣體,例如氧、臭氧、一氧化二氮,或一氧化氮。氫貯罐262、263係容納含氫氣體,例如氫氣。氮貯罐270、271係包含含氮氣體,例如氮氣、氨,或聯氨。
第3圖為根據本發明一實施例之處理程序300的方塊圖,該處理程序300用於在基板(例如基板110)上形成薄膜。在一實施例中,在處理程序300的方塊310中,基板110是先定位於基板平台226上(基板110具有形成在基板110上的特徵結構120)。基板110係經由位於腔室210的壁部212內之狹縫閥(未圖示)而傳送至腔室210中。在方塊320中,處理氣體係經由氣體注入系統228而輸送至處理區域239中。在需要氧化處理的一實施例中,含氧氣體係從氧貯罐232供應,而含氫氣體是從氫貯罐262供應。在需要氮化處理之另一實施例中,含氮氣體是由氮貯罐270供應,而含氫氣體是由氫貯罐262所供應。在方塊330中,供應至處理區域239之氣體或氣體混合物係在低壓力(例如約500毫托耳或更低)下在處理區域239內被激發為電漿。
在方塊340中,處理氣體係輸送至遠端電漿源280。在需要氧化處理之一實施例中,含氧氣體係由氧貯罐233供應,而含氫氣體是由氫貯罐263供應。在需要氮化處理的另一實施例中,含氮氣體是由氮貯罐271所供應,且含氫氣體是由氫貯罐263所供應。在方塊350中,氣體或氣體混合物係於高壓力(例如約1托耳或更高)下在遠端電漿源280內被激發為電漿。
在方塊360中,在遠端電漿源280內產生的電漿被注入處理區域239中。由於在遠端電漿源280內產生的電漿是於高壓下生成,且由於所產生之自由基數量比在此等高壓下生成之離子數量高出許多,從遠端電漿源280所注入之電漿具有極高的自由基與離子之比例。因此,過剩的自由基(由遠端電漿源280所注入者)係於處理區域239中與所產生之電漿混合。此外,由於處理區域239中的壓力是明顯為低之壓力,可維持離子的方向性而不對電漿混合物中的自由基之方向性有不利影響。所產生之處理程序可於既定操作壓力下改變自由基對離子的本質分布,進而可調適離子與自由基之比例,以更佳地控制角區磨圓、側壁對底壁成長,以及選擇性成長。
前述說明係針對本發明之實施例而行,可推知本發明之其他與進一步實施例而不背離本發明之基本範疇,本發明之基本範疇係由下述申請專利範圍所決定。
100...結構
110...基板
120...特徵結構
130...溝槽
132...側壁
134...側壁
136...底壁
150...離子
160...自由基
200...反應器
210...腔室
212...壁部
214...頂篷
216...線圈天線
218...第一阻抗匹配網路
220...功率產生器
222...閘極
226...平台
228...氣體注入系統
229...氣體注入系統
230...真空泵
232...氧貯罐
233...氧貯罐
234...加熱器
234a...徑向內部加熱元件
234b...徑向外部加熱元件
238...節流閥
239...處理區域
262...氫貯罐
263...氫貯罐
264...流量控制閥
265...流量控制閥
266...流量控制閥
267...流量控制閥
268...流量控制閥
269...流量控制閥
270...氮貯罐
271...氮貯罐
280...遠端電漿源
288...噴淋頭
289...單一孔口
290...桿體
292...線路
295...致動器裝置
300...處理程序
310-360...方塊
為詳細瞭解本發明之上述特徵,可參照實施例來瞭解本發明之更特定說明(如前述簡要說明者),部分實施例係圖示於隨附圖式中。然應注意,隨附圖式僅圖示了本發明之典型實施例,因而不應被視為發明範疇之限制,因為本發明亦允許其他的等效實施例。
第1圖為半導體結構的示意截面圖。
第2A圖為根據一實施例之反應器的示意截面圖。
第2B圖為根據另一實施例之反應器的示意截面圖。
第3圖為根據一實施例之處理程序的方塊圖。
200...反應器
210...腔室
212...壁部
214...頂篷
216...線圈天線
218...第一阻抗匹配網路
220...功率產生器
222...閘極
226...平台
228...氣體注入系統
229...氣體注入系統
230...真空泵
232...氧貯罐
233...氧貯罐
234...加熱器
234a...徑向內部加熱元件
234b...徑向外部加熱元件
238...節流閥
239...處理區域
262...氫貯罐
263...氫貯罐
264...流量控制閥
265...流量控制閥
266...流量控制閥
267...流量控制閥
268...流量控制閥
269...流量控制閥
270...氮貯罐
271...氮貯罐
280...遠端電漿源
288...噴淋頭
290...桿體
292...線路
295...致動器裝置

Claims (16)

  1. 一種用於在一基板上形成一薄膜的方法,該方法包含以下步驟:於一第一壓力下,在一處理腔室的一處理區域中自一第一氣體生成一第一電漿;於一第二壓力下,在該處理區域的外部自一第二氣體生成一第二電漿;將一流量之該第二電漿注入該處理區域中,以與該第一電漿混合;以及加熱該基板,該基板係位於該處理區域內的一基板支座上。
  2. 如請求項1之方法,其中該第一壓力係低於該第二壓力。
  3. 如請求項2之方法,其中該第一壓力約為500毫托耳(mTorr)或以下。
  4. 如請求項3之方法,其中該第二壓力至少約為1托耳(Torr)。
  5. 如請求項2之方法,其中該第一電漿為感應生成。
  6. 如請求項5之方法,其中該第二電漿係由一遠端電漿源所生成。
  7. 如請求項2之方法,其中該第二電漿是通過一單一孔口而注入該處理區域中。
  8. 如請求項2之方法,其中該第二電漿是通過一氣體分配板而注入該處理區域中。
  9. 如請求項2之方法,其中該基板係加熱至至少約500℃。
  10. 如請求項2之方法,進一步包含以下步驟:在該處理區域內旋轉該基板。
  11. 一種用於在一基板上形成一薄膜之反應器,該反應器包含:一處理腔室,該處理腔室具有一蓋體、一側壁與一基板支座,該基板支座係經定位以形成一處理區域,其中該基板支座包含一加熱器,該加熱器係配置以加熱置於該基板支座上之該基板達至少約500℃之一溫度;一氣源,該氣源係耦接至該腔室且配置以輸送一處理氣體至該處理區域中;一射頻(RF)源,該射頻源係耦接至該處理腔室且配置以於該處理區域中生成該處理氣體之一第一電漿;一遠端電漿源,該遠端電漿源係耦接至該腔室且配置以輸送一第二電漿至該處理區域中以與該第一電漿混合,該第二電漿係於一第一壓力下生成;以及一真空泵,該真空泵係耦接至該處理腔室且配置以使該處理區域保持處於一第二壓力,其中該第一壓力大於該第二壓力。
  12. 如請求項11之反應器,其中該第二電漿係通過一單一孔口而輸送至該處理區域。
  13. 如請求項11之反應器,進一步包含一噴淋頭,該噴淋頭係位於該處理腔室中,其中該第二電漿係經由該噴淋頭而輸送至該處理區域。
  14. 如請求項11之反應器,進一步包含一致動器,該致動器係耦接至該基板支座且配置以於處理期間旋轉該基板支座。
  15. 如請求項11之反應器,其中該第二壓力約為500毫托耳(mTorr)或以下。
  16. 如請求項15之反應器,其中該第一壓力為至少約1托耳。
TW100127099A 2011-02-15 2011-07-29 多區電漿生成之方法及設備 TWI527928B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201161443066P 2011-02-15 2011-02-15

Publications (2)

Publication Number Publication Date
TW201233843A TW201233843A (en) 2012-08-16
TWI527928B true TWI527928B (zh) 2016-04-01

Family

ID=46637221

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100127099A TWI527928B (zh) 2011-02-15 2011-07-29 多區電漿生成之方法及設備

Country Status (5)

Country Link
US (1) US9809881B2 (zh)
KR (1) KR101893471B1 (zh)
CN (1) CN103348776B (zh)
TW (1) TWI527928B (zh)
WO (1) WO2012112187A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US8846509B2 (en) 2011-11-15 2014-09-30 Applied Materials, Inc. Remote radical hydride dopant incorporation for delta doping in silicon
US10497606B2 (en) * 2015-02-09 2019-12-03 Applied Materials, Inc. Dual-zone heater for plasma processing
JP6602887B2 (ja) 2015-03-19 2019-11-06 マットソン テクノロジー インコーポレイテッド プラズマ処理チャンバ内のエッチングプロセスのアジマス方向の均質性の制御
CN108431930A (zh) * 2016-01-07 2018-08-21 应用材料公司 具有远程等离子体源和dc电极的原子层蚀刻系统
JP6753678B2 (ja) * 2016-03-25 2020-09-09 株式会社日立ハイテクサイエンス 荷電粒子ビーム装置及びプラズマ点火方法
CN105753107B (zh) * 2016-05-17 2018-06-19 南京苏曼等离子科技有限公司 一种水下脉冲旋转滑动弧低温等离子污水处理装置
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US11908715B2 (en) 2018-07-05 2024-02-20 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
US11183400B2 (en) 2018-08-08 2021-11-23 Lam Research Corporation Progressive heating of components of substrate processing systems using TCR element-based heaters
WO2020051063A2 (en) * 2018-09-05 2020-03-12 Tokyo Electron Limited Surface modification process
WO2020131793A1 (en) * 2018-12-20 2020-06-25 Mattson Technology, Inc. Silicon mandrel etch after native oxide punch-through
KR20210047808A (ko) * 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20220148735A (ko) * 2021-04-29 2022-11-07 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템 및 반응기 시스템을 세정하기 위한 방법
TW202311552A (zh) * 2021-09-02 2023-03-16 大陸商盛美半導體設備(上海)股份有限公司 薄膜沉積裝置、薄膜沉積方法及薄膜沉積設備

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3353514B2 (ja) * 1994-12-09 2002-12-03 ソニー株式会社 プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
KR20010087598A (ko) * 2000-03-08 2001-09-21 황 철 주 Hdp-cvd 장치 및 이를 이용한 갭 필링 방법
KR20010096229A (ko) * 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
WO2002008487A1 (en) 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US20030013314A1 (en) * 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
KR100433006B1 (ko) * 2001-10-08 2004-05-28 주식회사 플라즈마트 다기능 플라즈마 발생장치
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US6727134B1 (en) * 2002-11-05 2004-04-27 Taiwan Semiconductor Manufacturing Company Method of forming a nitride gate dielectric layer for advanced CMOS devices
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US20040161536A1 (en) * 2003-02-14 2004-08-19 Applied Materials, Inc. Method for depositing a low-k material having a controlled thickness range
US20060226119A1 (en) * 2003-06-27 2006-10-12 Tokyo Electron Limited Method for generating plasma method for cleaning and method for treating substrate
KR100703070B1 (ko) * 2004-03-22 2007-04-05 두산디앤디 주식회사 유기el용 대면적 기판 쳐짐 방지 장치
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
KR100580584B1 (ko) * 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
US20060251795A1 (en) * 2005-05-05 2006-11-09 Boris Kobrin Controlled vapor deposition of biocompatible coatings for medical devices
US7163877B2 (en) * 2004-08-18 2007-01-16 Tokyo Electron Limited Method and system for modifying a gate dielectric stack containing a high-k layer using plasma processing
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
KR100725037B1 (ko) * 2005-01-21 2007-06-07 세메스 주식회사 반도체 플라즈마 처리 장치 및 방법
US7214628B2 (en) * 2005-02-02 2007-05-08 Applied Materials, Inc. Plasma gate oxidation process using pulsed RF source power
US7141514B2 (en) * 2005-02-02 2006-11-28 Applied Materials, Inc. Selective plasma re-oxidation process using pulsed RF source power
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US20080011426A1 (en) * 2006-01-30 2008-01-17 Applied Materials, Inc. Plasma reactor with inductively coupled source power applicator and a high temperature heated workpiece support
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
CN101473426A (zh) * 2006-06-22 2009-07-01 应用材料股份有限公司 用于从下向上填充间隙的介电材料沉积与回蚀方法
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
KR100819096B1 (ko) * 2006-11-21 2008-04-02 삼성전자주식회사 Peox공정을 진행하는 반도체 제조설비의 리모트 플라즈마를 이용한 세정방법
US20080179008A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Reactor for wafer backside polymer removal using an etch plasma feeding a lower process zone and a scavenger plasma feeding an upper process zone
US20080179287A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal with wafer front side gas purge
US7967996B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Process for wafer backside polymer removal and wafer front side photoresist removal
US7807579B2 (en) * 2007-04-19 2010-10-05 Applied Materials, Inc. Hydrogen ashing enhanced with water vapor and diluent gas
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US8142606B2 (en) * 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US20090084501A1 (en) 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
US20090095714A1 (en) * 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US7588957B2 (en) * 2007-10-17 2009-09-15 Applied Materials, Inc. CVD process gas flow, pumping and/or boosting
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US7732759B2 (en) * 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
US7699935B2 (en) * 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US7972968B2 (en) * 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
KR20110074912A (ko) * 2008-10-21 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 챔버 세정을 위한 플라즈마 소오스 및 챔버 세정 방법
US8236706B2 (en) * 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
US8435906B2 (en) * 2009-01-28 2013-05-07 Applied Materials, Inc. Methods for forming conformal oxide layers on semiconductor devices
US9378930B2 (en) * 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US8043981B2 (en) * 2009-04-21 2011-10-25 Applied Materials, Inc. Dual frequency low temperature oxidation of a semiconductor device
CN101921998A (zh) * 2009-06-16 2010-12-22 亚洲太阳科技有限公司 可提升薄膜太阳能电池均匀性的pecvd装置及方法
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US20110033638A1 (en) * 2009-08-10 2011-02-10 Applied Materials, Inc. Method and apparatus for deposition on large area substrates having reduced gas usage
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
WO2011028349A2 (en) * 2009-09-04 2011-03-10 Applied Materials, Inc. Remote hydrogen plasma source of silicon containing film deposition
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
WO2011097178A2 (en) * 2010-02-02 2011-08-11 Applied Materials, Inc. Methods for nitridation and oxidation
US8227344B2 (en) * 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9309594B2 (en) * 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US20110265884A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US8721798B2 (en) * 2010-04-30 2014-05-13 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US8343881B2 (en) * 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US20120000490A1 (en) * 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for enhanced processing chamber cleaning
TW201213601A (en) * 2010-09-16 2012-04-01 Ind Tech Res Inst Apparatus and control method for plasma enhanced atomic layer deposition
US20120103524A1 (en) * 2010-10-28 2012-05-03 Applied Materials, Inc. Plasma processing apparatus with reduced effects of process chamber asymmetry
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치

Also Published As

Publication number Publication date
US20120208371A1 (en) 2012-08-16
KR20140009370A (ko) 2014-01-22
CN103348776A (zh) 2013-10-09
CN103348776B (zh) 2017-06-09
TW201233843A (en) 2012-08-16
KR101893471B1 (ko) 2018-08-30
WO2012112187A1 (en) 2012-08-23
US9809881B2 (en) 2017-11-07

Similar Documents

Publication Publication Date Title
TWI527928B (zh) 多區電漿生成之方法及設備
KR102563427B1 (ko) 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
US9018108B2 (en) Low shrinkage dielectric films
TW201233840A (en) Polysilicon films by HDP-CVD
JP7474805B2 (ja) 遠隔プラズマによる酸化へのアルゴン添加
US20120315745A1 (en) Crystalline silicon film forming method and plasma cvd apparatus
JP2016028411A (ja) 半導体デバイス上に共形酸化物層を形成するための方法
US11361945B2 (en) Plasma processing apparatus, processing system, and method of etching porous film
US10692717B2 (en) Minimization of carbon loss in ALD SiO2 deposition on hardmask films
JP2015056499A (ja) 基板処理方法及び基板処理装置
KR102703457B1 (ko) 하드마스크 막들 상의 ALD SiO2 증착 시 탄소 손실 최소화
US12040180B2 (en) Nitride films with improved etch selectivity for 3D NAND integration
TW202311553A (zh) Ⅲ族氮化物半導體的製造方法
KR20240134255A (ko) 하드마스크 막들 상의 ALD SiO2 증착 시 탄소 손실 최소화
TWI442474B (zh) 用於在半導體裝置上形成共形氧化層的方法