US20120103524A1 - Plasma processing apparatus with reduced effects of process chamber asymmetry - Google Patents

Plasma processing apparatus with reduced effects of process chamber asymmetry Download PDF

Info

Publication number
US20120103524A1
US20120103524A1 US13/240,451 US201113240451A US2012103524A1 US 20120103524 A1 US20120103524 A1 US 20120103524A1 US 201113240451 A US201113240451 A US 201113240451A US 2012103524 A1 US2012103524 A1 US 2012103524A1
Authority
US
United States
Prior art keywords
disposed
substrate support
processing volume
coil
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/240,451
Inventor
Robert Chebi
Alan Cheshire
Stanley Detmar
Gabriel ROUPILLARD
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US13/240,451 priority Critical patent/US20120103524A1/en
Priority to TW100136764A priority patent/TW201234934A/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DETMAR, STANLEY, CHEBI, ROBERT, CHESHIRE, ALAN, ROUPILLARD, GABRIEL
Priority to KR1020137013348A priority patent/KR20130140035A/en
Priority to PCT/US2011/057577 priority patent/WO2012058184A2/en
Priority to CN2011800500026A priority patent/CN103168507A/en
Publication of US20120103524A1 publication Critical patent/US20120103524A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting

Definitions

  • Embodiments of the present invention generally relate to substrate processing equipment, and more specifically to plasma enhanced substrate processing apparatus.
  • Some substrate process chambers may have a pumping port asymmetrically disposed with respect to a processing volume of the process chamber.
  • Such process chambers may further include inductively or capacitively coupled electrodes to ignite a plasma in the processing volume.
  • Inductive coils or capacitive electrodes are typically symmetrically disposed about the process chamber, for example proximate an upper portion of the process chamber, to provide a uniform electric field, and therefore, a more uniform plasma within the process chamber.
  • the inventors have observed that the asymmetric location of the pump port with respect to the processing volume can result in plasma non-uniformities in the process chamber, which can undesirably result in non-uniform processing of a substrate within the process chamber.
  • the inventors have observed that severe processing non-uniformities may result in etch processes performed at higher operating pressures (for example, greater than about 25 millitorr (mTorr)). Attempts to mitigate the effects of such pumping asymmetry have included baffles or flow diversion. However, the inventors have observed that these resolutions undesirably limit flow conductance within the process chamber and may reduce the available processing window.
  • mTorr millitorr
  • the inventors have provided an improved plasma processing apparatus that may reduce at least some of the effects of pumping asymmetry while maintaining flow conductance and process window.
  • a plasma processing apparatus may include a process chamber having a processing volume with a substrate support disposed therein, and a first RF coil disposed above the substrate support to couple RF energy into the processing volume, wherein an electric field generated by RF energy moving along the first RF coil is asymmetric about a central axis of the substrate support.
  • a pump port is disposed asymmetrically with respect to the processing volume to remove one or more gases from the processing volume.
  • the first RF coil is asymmetrically disposed about the central axis of the substrate support.
  • the first RF coil includes at least one conductor winding about the central axis of the substrate support and toward a periphery of the processing volume from a first end disposed proximate the central axis of the substrate support to a second end.
  • the plasma processing apparatus includes a second RF coil disposed above the processing volume.
  • the first RF coil is an outer coil asymmetrically disposed about the central axis of the substrate support and the second RF coil is an inner coil symmetrically disposed about the substrate support.
  • a plasma processing apparatus may include a process chamber having a processing volume with a substrate support disposed therein and a ceiling disposed above the substrate support; an outer RF coil disposed proximate the ceiling external to the processing volume to couple RF energy into the processing volume, wherein the outer RF coil includes at least one first conductor asymmetrically disposed about the central axis of the substrate support; an inner RF coil proximate the ceiling external to the processing volume to couple RF energy into the processing volume, wherein the inner RF coil includes a second conductor symmetrically disposed about the central axis of the substrate support; and a pump port disposed asymmetrically with respect to the processing volume, wherein the electric field is weaker above a first portion of the processing volume proximate the pump port than above a second portion of the processing volume opposing the pump port.
  • a plasma processing apparatus may include a process chamber having a processing volume with a substrate support disposed therein; a pump port disposed asymmetrically with respect to the processing volume; and a plasma generator.
  • the plasma generator may include a signal generator; and an electrode coupled to the signal generator to create an electric field within the processing volume upon application of energy from the signal generator, wherein the electric field has an asymmetric geometry relative to a central axis of the substrate support.
  • FIG. 1 depicts a schematic side view of a plasma reactor in accordance with some embodiments of the present invention.
  • FIGS. 2A-B depict top views a plasma reactor in accordance with some embodiments of the present invention.
  • FIGS. 3A-3B depict a plasma reactor in accordance with some embodiments of the present invention.
  • Embodiments of plasma processing apparatus that provide an asymmetric plasma distribution within the processing apparatus are provided herein.
  • the inventive apparatus may advantageously overcome asymmetry within a process chamber without adversely affecting processing within the process chamber.
  • at least some embodiments of the present invention may advantageously overcome asymmetry within the process chamber without adversely affecting flow conductance and/or the process window of the process chamber.
  • Embodiments of the inventive apparatus may be beneficial to any plasma assisted substrate processes, such as etch, deposition, or the like.
  • suitable processes include deep silicon (Si) etch processes used in forming microelectromechanical systems (MEMS) devices or thru silicon via (TSV) applications.
  • FIG. 1 depicts a schematic diagram of an illustrative etch reactor 100 of the kind that may be used to practice embodiments of the invention as discussed herein.
  • the reactor 100 may be utilized alone or, more typically, as a processing module of an integrated semiconductor substrate processing system, or cluster tool, such as a CENTURA® integrated semiconductor substrate processing system, available from Applied Materials, Inc. of Santa Clara, Calif.
  • etch reactors examples include the ADVANTEDGETM line of etch reactors (such as the AdvantEdge S or the AdvantEdge HT), the DPS® line of etch reactors (such as the DPS®, DPS® II, DPS® AE, DPS® HT, DPS® G3 poly etcher), or other etch reactors, also available from Applied Materials, Inc.
  • Other etch reactors or non-etch plasma processing equipment including those available from other manufacturers, having asymmetric flow conditions within the process chamber, such as processing equipment used for deposition, surface treatment, or the like, may also be modified in accordance with the teachings provided herein.
  • the reactor 100 comprises a process chamber 110 having a processing volume 115 with a substrate support 116 disposed therein, and a plasma generator to create and/or maintain a plasma within the processing volume 115 , or that can be delivered to the processing volume 115 , during use.
  • the chamber 110 may be supplied with a dome-shaped dielectric ceiling 120 (also referred to as a dielectric window) disposed above a conductive body (wall) 130 .
  • the ceiling 120 may have other geometries such as, for example, substantially flat.
  • the processing volume 115 may be enclosed within the conductive body 130 and the ceiling 120 .
  • a pump port 125 may be disposed asymmetrically with respect to the processing volume 115 to remove one or more gases from the processing volume 115 .
  • the pump port 125 may be disposed to one side of the processing volume 115 such that, during use, asymmetric regions of high and low pressure form within the processing volume 115 (such as regions of low pressure in regions of the processing volume 115 proximate the pump port 125 , regions of high pressure remote from the pump port 125 , and regions of intermediate pressure disposed between the regions of high and low pressures.
  • asymmetric regions of high and low pressure form within the processing volume 115 (such as regions of low pressure in regions of the processing volume 115 proximate the pump port 125 , regions of high pressure remote from the pump port 125 , and regions of intermediate pressure disposed between the regions of high and low pressures.
  • high pressure, low pressure, and intermediate pressure are intended to be relative terms with respect to each other and not in absolute terms of any particular pressure.
  • the variant pressures within the processing volume may cause variant gas flow rates within the processing volume, which may undesirably affect the processing results on a substrate disposed within the processing volume 115 .
  • the variant pressure and gas flow rates may undesirably push/pull or otherwise affect the position of the plasma within the processing volume, which may lead to non-uniform process results.
  • other chamber components such as a slit valve 102 for transferring a substrate into and out of the process chamber 110 , and/or the geometry of the process chamber 110 itself may be the cause or may contribute to any flow asymmetry in the process chamber 110 that may be mitigated by use of the inventive apparatus disclosed herein.
  • embodiments of the present invention may also be used to compensate for other asymmetries that affect the plasma or processing within the process chamber as well.
  • the plasma generator may be any suitable plasma generator such as a radio frequency (RF) plasma generator, a microwave plasma generator, a remote plasma generator, or the like.
  • the plasma generator comprises a signal generator 118 coupled to an electrode.
  • the signal generator 118 generally provides energy at frequency suitable to form and/or maintain a plasma in the process chamber, or remote from the process chamber, from process gases being supplied to the processing volume 115 of the process chamber 110 .
  • the signal generator 118 may provide a signal at a frequency of about 50 kHz to about 2.45 GHz (e.g., in the RF to microwave spectrum).
  • the plasma generator is configured to provide an asymmetric plasma within the process chamber that can compensate for the asymmetric pressure/flow conditions within the chamber.
  • the signal generator 118 may be coupled to the electrode through a first matching network 119 to minimize reflected power during use.
  • the electrode may be an antenna 111 comprising at least one RF coil.
  • the antenna 111 may be disposed above the substrate support 116 .
  • the antenna 111 may be disposed above the ceiling 120 and configured to inductively couple RF energy to a process gas provided to the processing volume 115 of the chamber 110 .
  • the antenna 111 may be coupled to the signal generator 118 through the first matching network 119 .
  • FIGS. 2A-B illustrate top views of the reactor 100 in accordance with some embodiments of the present invention.
  • each RF coil 112 , 148 that may be included in one or more embodiments of the antenna 111 is illustrated separately. However, as shown in FIG. 1 , in some embodiments, both RF coils 112 , 148 may be simultaneously included in the reactor 100 .
  • the antenna 111 may include the first RF coil 112 disposed above the substrate support 116 as illustrated in FIGS. 1 and 2B .
  • the first RF coil 112 may produce an electric field that is asymmetric about a central axis 113 of the substrate support 116 (and substrate when disposed thereon).
  • the asymmetric electric field may be configured to correlate with the asymmetric flow pattern within the processing volume 115 (e.g., the regions of high and low pressure due to the asymmetric pump port 125 ).
  • the first RF coil 112 may be configured such that the electric field produced within the processing volume 115 during use is weaker above a first portion 117 of the processing volume 115 proximate the pump port 125 (e.g., a region of low pressure) than above a second portion 121 of the processing volume 115 opposite the pump port 125 (e.g., a region of high pressure).
  • the electric field produced by the first RF coil 112 may be configured to be of intermediate strength above a third portion 123 of the processing volume 115 disposed between the first portion 117 and the second portion 121 (e.g., regions of intermediate pressure).
  • the electric field produced by the first RF coil 112 may be configured to be weakest in regions of lower pressures and strongest in regions of higher pressures of the processing volume 115 .
  • the first RF coil 112 may be configured to provide an asymmetric electric field produced by RF energy flowing along the first RF coil 112 that may at least partially compensate for flow non-uniformity due to asymmetric pumping resulting from the location of the pump port 125 with respect to the processing volume 115 .
  • the asymmetric electric field provides an asymmetric plasma having regions of greater and lesser plasma density in corresponding regions of stronger and weaker electric fields.
  • the inventors believe that the asymmetric plasma may be redistributed by the asymmetric pressure/flow conditions within the processing volume, resulting in a more uniform plasma, and therefore, more uniform processing results.
  • the inventive apparatus advantageously does not impact conductance within the chamber or reduce the processing window due to narrower flow/pressure limitations.
  • the first portion 117 of the processing volume 115 proximate the pump port 125 may be a region of low pressure due to proximity to the pump port 125 .
  • the second portion 121 of the process volume 115 which opposes the first portion 117 may be a region of high pressure due to the location of the second portion 121 at the farthest distance from the pump port 125 .
  • the third portion 123 of the processing volume 115 located between the first portion 117 and the second portion 121 may be a region of intermediate pressure, higher than the pressure in the first portion 117 and lower than the pressure in the second portion 121 . Accordingly, in some embodiments, the asymmetry of the electric field discussed above may be configured to be weakest above the first portion 117 and strongest above the opposing second portion 121 .
  • the asymmetric electric field produced by flowing RF energy along the first RF coil 112 may be resultant from the first RF coil 112 being asymmetrically disposed about the central axis 113 of the substrate support.
  • the first RF coil 112 may comprise at least one conductor 129 ( FIG. 2B illustrates the first RF coil 112 having three conductors 129 ) winding about the central axis 113 of the substrate support 116 .
  • the at least one conductor 129 may wind toward a periphery of the processing volume 115 from a first end 131 disposed proximate the central axis 113 of the substrate support 116 to a second end 133 .
  • RF energy may be coupled to the at least one conductor 129 (for example via the signal generator 118 and the first matching network 119 ) at either of the first end 131 or the second end 133 , with the other of the first or second ends 131 , 133 coupled to ground.
  • RF energy is coupled to the first end 131 of the at least one conductor, with the second end 133 coupled to ground.
  • an outermost winding 135 of the at least one conductor 129 may be disposed internally from the periphery of the processing volume 115 as illustrated by a distance 137 . In some embodiments the outermost winding 135 of the at least one conductor 129 may be disposed internally from the periphery of the processing volume 115 .
  • the distance 137 by which the outermost winding 135 of the at least one conductor 129 may be disposed internally from the periphery of the processing volume 115 may depend upon numerous factors such as the process chamber geometry, the dielectric window geometry (e.g., flat, domed, etc.), and the substrate size (e.g., 200 mm or 300 mm wafers, square or rectangular panels, or the like).
  • the outermost winding 135 of the at least one conductor 129 may be disposed radially inward or outward in relation to the outer diameter of the substrate support 116 .
  • the inventors have unexpectedly discovered that even if the at least one conductor 129 was symmetric (not shown), an improvement in process uniformity can be achieved by disposing the outermost winding 135 internally from the periphery of the processing volume 115 .
  • the outermost winding of a symmetrically conductor coil is typically disposed proximate the periphery of a processing volume of the processing apparatus.
  • process uniformity in an etch process unexpectedly improved by about 25 percent.
  • the inventors have further discovered that by introducing an asymmetry into the at least one conductor 129 , a further improvement in process uniformity can be achieved.
  • the at least one conductor 129 of the first RF coil 112 may be disposed asymmetrically about the central axis 113 of the substrate support 116 .
  • the first RF coil 112 may include a plurality of conductors 129 (three conductors 129 are illustrated in FIG. 2B ), where each conductor 129 may be asymmetrically disposed about the central axis 113 .
  • FIG. 2B is merely one exemplary embodiment of the present invention.
  • one or any number of the plurality of conductors 129 may be asymmetrically disposed to provide an asymmetric electric field when RF energy flows along each of the plurality of conductors 129 of the first RF coil 112 .
  • the at least one conductor 129 illustrated as a coil winding asymmetrically about the central axis 113 in FIG. 2B may include a plurality of windings about the central axis 113 as shown.
  • the at least one conductor 129 may include a first winding, for example such as an innermost winding 139 of the at least one conductor 129 , and a second winding, for example such as the outermost winding 135 .
  • a first winding for example such as an innermost winding 139 of the at least one conductor 129
  • a second winding for example such as the outermost winding 135 .
  • only two windings, e.g., the innermost winding 139 and the outermost winding 135 are illustrated in an exemplary embodiments of the first RF coil 112 in FIG.
  • the at least one conductor 129 may include any desired number of windings necessary to provide the desired characteristics of an electric field as discussed above.
  • a distance between the first winding and the second winding may vary.
  • the distance 141 diverges between the innermost winding 139 and the outermost winding 135 .
  • the distance between the windings of the at least one conductor 129 is greatest above the first portion 117 of the processing volume 115 proximate the pump port 125 .
  • a distance 143 between the innermost winding 139 and the outermost winding 135 of the at least one conductor 129 may be greatest above the first portion 117 of the processing volume 115 .
  • a distance between adjacent conductors 129 may be constant along respective corresponding lengths of the adjacent conductors.
  • a distance 147 between adjacent conductors 129 may be constant along the respective lengths of the adjacent conductors 129 .
  • the antenna 111 may further include a second RF coil 148 disposed above the substrate support 116 and configured to inductively couple RF energy into a process gas provided to the processing volume 115 of the process chamber 110 .
  • both the first RF coil 112 and the second RF coil 148 may be coupled to the signal generator 118 via the first matching network 119 .
  • a device for distributing power between the first and second RF coils 112 , 148 may be disposed at an outlet of the first match network 119 to control the percentage of RF power delivered from the signal generator 118 to the first and second RF coils 112 , 148 .
  • the device may be controlled by a controller 140 to selectively adjust the amount of RF power supplied to each of the first and second RF coils 112 , 148 during processing.
  • the second RF coil 148 may be an inner coil symmetrically disposed about the central axis 113 and the first RF coil 112 may be an outer coil (relative to the inner coil). Embodiments of the first RF coil 112 are discussed above.
  • the second RF coil 148 similar to the first RF coil 112 , may include at least one conductor 149 winding about the central axis 113 of the substrate support and toward a periphery of the processing volume 115 from a first end 150 dispose proximate the central axis 113 to a second end 152 .
  • RF energy may be coupled to the at least one conductor 149 (for example via the signal generator 118 and the first matching network 119 ) at either of the first end 150 or the second end 152 , with the other of the first or second ends 150 , 152 coupled to ground.
  • RF energy is coupled to the first end 150 of the at least one conductor, with the second end 152 coupled to ground.
  • the second RF coil 148 may include one conductor 149 symmetrically disposed about the central axis 113 .
  • the second RF coil 148 may include a plurality of conductors 149 .
  • each conductor 149 in the plurality of conductors 149 may be symmetrically disposed about the central axis 113 of the substrate support 116 .
  • the electrode may be configured to capacitively couple energy to the process chamber.
  • the electrode may be a plate electrode (not shown) or the like having a geometry such that energy is coupled to the process chamber in desired regions, or such that more energy is coupled to the process chamber in regions where a higher density plasma is desired and less energy is coupled to the process chamber where a lower density plasma is desired.
  • the electrode may be omitted and a waveguide may be provided to route the microwave energy to a desired location to energize the process gases and form a plasma.
  • FIGS. 3A and 3B depict a remote plasma source configured to provide a plasma to the process chamber and a such an asymmetric manner to compensate for flow asymmetries within the process chamber.
  • FIG. 3A shows a process chamber 110 having asymmetric pump port 125 .
  • a substrate support 116 for supporting a substrate 114 thereon is disposed within the process chamber and has a central axis 113 .
  • the remote plasma source may include a plasma chamber 302 , such as a tube or other confined area, have a conductive coil 304 wrapped around the plasma chamber 302 .
  • the conductive coil 304 may be coupled to the signal generator 118 .
  • the plasma chamber 302 is coupled to the gas panel 138 and to the process chamber. In operation gas provided from the gas panel 138 passes through the plasma chamber 302 and is energized into a plasma by application of energy to the conductive coil 304 by the signal generator 118 .
  • the plasma chamber 302 is disposed asymmetric with respect to the central axis 113 . As discussed above, the specific location of where the plasma chamber 302 is disposed depends upon the flow conditions within the process chamber 110 . For example, in embodiments where higher density plasma is desired in a location further from the pump port 125 , the plasma chamber 302 may be disposed on a side of the central axis 113 opposing the pump port 125 , as shown in FIG. 3A .
  • the plasma chamber 302 may be disposed on the same side of the central axis 113 as the pump port 125 , as shown in FIG. 3B .
  • Other coils, or electrodes, may also be utilized as illustratively depicted in FIGS. 3A-B in dotted lines labeled 306 .
  • the substrate support may be coupled to an energy source, such as an RF energy source (as shown) or other energy source, similar as discussed below with respect to FIG. 1 .
  • the substrate support may be coupled to ground.
  • the substrate support 116 (e.g., cathode) may be coupled, through a second matching network 124 , to a biasing power source 122 .
  • the biasing source 122 generally may be capable of producing up to 1500 W of RF energy at a suitable frequency. In some embodiments, the frequency of the signal provided by the biasing power source may be about 400 kHz to about 13.56 MHz.
  • the biasing power may be either continuous or pulsed power. In some embodiments, the biasing power source 122 may be a DC or pulsed DC source.
  • the controller 140 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the controller 140 generally comprises a central processing unit (CPU) 144 , a memory 142 , and support circuits 146 for the CPU 144 and facilitates control of the components of the chamber 110 and, as such, of the etch process, as discussed below in further detail.
  • the memory 142 , or computer-readable medium, of the CPU 144 may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • the support circuits 146 are coupled to the CPU 144 for supporting the processor in a conventional manner.
  • circuits can include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like.
  • Methods of operation of the inventive apparatus may be stored in the memory 142 as a software routine.
  • the software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 144 .
  • a semiconductor substrate 114 is placed on the substrate support 116 and process gases are supplied from a gas panel 138 through entry ports 126 and form a gaseous mixture 151 .
  • the gaseous mixture 151 is ignited into a plasma 155 in the chamber 110 by applying power from the signal generator 118 and biasing power source 122 to the first and second RF coils 112 , 148 and the cathode 116 , respectively.
  • the pressure within the interior of the chamber 110 is controlled using a throttle valve 127 and a vacuum pump 136 .
  • the chamber wall 130 is coupled to an electrical ground 134 .
  • the temperature of the wall 130 may be controlled using any suitable heat transfer mechanism, such as liquid-containing conduits, resistive heaters, or the like (not shown) that are placed proximate to or within the wall 130 .
  • the temperature of the substrate 114 is controlled by stabilizing a temperature of the substrate support 116 .
  • helium gas from a gas source 154 is provided via a gas conduit 156 to channels (not shown) formed in the substrate support surface under the substrate 114 .
  • the helium gas is used to facilitate heat transfer between the substrate support 116 and the substrate 114 .
  • the substrate support 116 may be heated by a resistive heater (not shown) within the pedestal to a steady state temperature and then the helium gas facilitates uniform heating of the substrate 114 .
  • the substrate 114 may be maintained at a temperature of about ⁇ 30 to about 60 degrees Celsius.
  • the inventive apparatus may advantageously overcome asymmetry within a process chamber, for example flow asymmetries due to an asymmetrically disposed pump port with respect to a processing volume of the process chamber, without adversely affecting flow conductance and/or the process window of the process chamber.
  • Embodiments of the inventive apparatus may be beneficial to any plasma assisted substrate processes, such as etch, deposition, or the like.
  • suitable processes include deep silicon (Si) etch processes used in forming MEMS devices or thru silicon via (TSV) applications.

Abstract

Plasma processing apparatus that provide an asymmetric plasma distribution within the processing apparatus are provided herein. In some embodiments, a plasma processing apparatus may include a process chamber having a processing volume with a substrate support disposed therein; and a first RF coil disposed above the substrate support to couple RF energy into the processing volume, wherein an electric field generated by RF energy moving along the first RF coil is asymmetric about a central axis of the substrate support. In some embodiments, a pump port is disposed asymmetrically with respect to the processing volume to remove one or more gases from the processing volume. In some embodiments, the first RF coil is asymmetrically disposed about the central axis of the substrate support.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. provisional patent application Ser. No. 61/407,882, filed Oct. 28, 2010, which is herein incorporated by reference.
  • BACKGROUND
  • 1. Field
  • Embodiments of the present invention generally relate to substrate processing equipment, and more specifically to plasma enhanced substrate processing apparatus.
  • 2. Description of the Related Art
  • Some substrate process chambers may have a pumping port asymmetrically disposed with respect to a processing volume of the process chamber. Such process chambers may further include inductively or capacitively coupled electrodes to ignite a plasma in the processing volume. Inductive coils or capacitive electrodes are typically symmetrically disposed about the process chamber, for example proximate an upper portion of the process chamber, to provide a uniform electric field, and therefore, a more uniform plasma within the process chamber. However, the inventors have observed that the asymmetric location of the pump port with respect to the processing volume can result in plasma non-uniformities in the process chamber, which can undesirably result in non-uniform processing of a substrate within the process chamber. For example, the inventors have observed that severe processing non-uniformities may result in etch processes performed at higher operating pressures (for example, greater than about 25 millitorr (mTorr)). Attempts to mitigate the effects of such pumping asymmetry have included baffles or flow diversion. However, the inventors have observed that these resolutions undesirably limit flow conductance within the process chamber and may reduce the available processing window.
  • Therefore, the inventors have provided an improved plasma processing apparatus that may reduce at least some of the effects of pumping asymmetry while maintaining flow conductance and process window.
  • SUMMARY
  • Embodiments of plasma processing apparatus that provide an asymmetric plasma distribution within the processing apparatus are provided herein. In some embodiments, a plasma processing apparatus may include a process chamber having a processing volume with a substrate support disposed therein, and a first RF coil disposed above the substrate support to couple RF energy into the processing volume, wherein an electric field generated by RF energy moving along the first RF coil is asymmetric about a central axis of the substrate support. In some embodiments, a pump port is disposed asymmetrically with respect to the processing volume to remove one or more gases from the processing volume. In some embodiments, the first RF coil is asymmetrically disposed about the central axis of the substrate support. In some embodiments, the first RF coil includes at least one conductor winding about the central axis of the substrate support and toward a periphery of the processing volume from a first end disposed proximate the central axis of the substrate support to a second end. In some embodiments, the plasma processing apparatus includes a second RF coil disposed above the processing volume. In some embodiments, the first RF coil is an outer coil asymmetrically disposed about the central axis of the substrate support and the second RF coil is an inner coil symmetrically disposed about the substrate support.
  • In some embodiments, a plasma processing apparatus may include a process chamber having a processing volume with a substrate support disposed therein and a ceiling disposed above the substrate support; an outer RF coil disposed proximate the ceiling external to the processing volume to couple RF energy into the processing volume, wherein the outer RF coil includes at least one first conductor asymmetrically disposed about the central axis of the substrate support; an inner RF coil proximate the ceiling external to the processing volume to couple RF energy into the processing volume, wherein the inner RF coil includes a second conductor symmetrically disposed about the central axis of the substrate support; and a pump port disposed asymmetrically with respect to the processing volume, wherein the electric field is weaker above a first portion of the processing volume proximate the pump port than above a second portion of the processing volume opposing the pump port.
  • In some embodiments, a plasma processing apparatus may include a process chamber having a processing volume with a substrate support disposed therein; a pump port disposed asymmetrically with respect to the processing volume; and a plasma generator. In some embodiments, the plasma generator may include a signal generator; and an electrode coupled to the signal generator to create an electric field within the processing volume upon application of energy from the signal generator, wherein the electric field has an asymmetric geometry relative to a central axis of the substrate support.
  • Other and further embodiments of the present invention are described below.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 depicts a schematic side view of a plasma reactor in accordance with some embodiments of the present invention.
  • FIGS. 2A-B depict top views a plasma reactor in accordance with some embodiments of the present invention.
  • FIGS. 3A-3B depict a plasma reactor in accordance with some embodiments of the present invention.
  • DETAILED DESCRIPTION
  • Embodiments of plasma processing apparatus that provide an asymmetric plasma distribution within the processing apparatus are provided herein. In some embodiments, the inventive apparatus may advantageously overcome asymmetry within a process chamber without adversely affecting processing within the process chamber. For example, at least some embodiments of the present invention may advantageously overcome asymmetry within the process chamber without adversely affecting flow conductance and/or the process window of the process chamber. Embodiments of the inventive apparatus may be beneficial to any plasma assisted substrate processes, such as etch, deposition, or the like. Non-limiting examples of suitable processes include deep silicon (Si) etch processes used in forming microelectromechanical systems (MEMS) devices or thru silicon via (TSV) applications.
  • FIG. 1 depicts a schematic diagram of an illustrative etch reactor 100 of the kind that may be used to practice embodiments of the invention as discussed herein. The reactor 100 may be utilized alone or, more typically, as a processing module of an integrated semiconductor substrate processing system, or cluster tool, such as a CENTURA® integrated semiconductor substrate processing system, available from Applied Materials, Inc. of Santa Clara, Calif. Examples of suitable etch reactors that may be modified in accordance with the teachings provided herein include the ADVANTEDGE™ line of etch reactors (such as the AdvantEdge S or the AdvantEdge HT), the DPS® line of etch reactors (such as the DPS®, DPS® II, DPS® AE, DPS® HT, DPS® G3 poly etcher), or other etch reactors, also available from Applied Materials, Inc. Other etch reactors or non-etch plasma processing equipment, including those available from other manufacturers, having asymmetric flow conditions within the process chamber, such as processing equipment used for deposition, surface treatment, or the like, may also be modified in accordance with the teachings provided herein.
  • The reactor 100 comprises a process chamber 110 having a processing volume 115 with a substrate support 116 disposed therein, and a plasma generator to create and/or maintain a plasma within the processing volume 115, or that can be delivered to the processing volume 115, during use. In some embodiments, the chamber 110 may be supplied with a dome-shaped dielectric ceiling 120 (also referred to as a dielectric window) disposed above a conductive body (wall) 130. Alternatively, the ceiling 120 may have other geometries such as, for example, substantially flat. The processing volume 115 may be enclosed within the conductive body 130 and the ceiling 120. A pump port 125 may be disposed asymmetrically with respect to the processing volume 115 to remove one or more gases from the processing volume 115. For example, the pump port 125 may be disposed to one side of the processing volume 115 such that, during use, asymmetric regions of high and low pressure form within the processing volume 115 (such as regions of low pressure in regions of the processing volume 115 proximate the pump port 125, regions of high pressure remote from the pump port 125, and regions of intermediate pressure disposed between the regions of high and low pressures. As used herein, high pressure, low pressure, and intermediate pressure are intended to be relative terms with respect to each other and not in absolute terms of any particular pressure. The variant pressures within the processing volume may cause variant gas flow rates within the processing volume, which may undesirably affect the processing results on a substrate disposed within the processing volume 115. The variant pressure and gas flow rates may undesirably push/pull or otherwise affect the position of the plasma within the processing volume, which may lead to non-uniform process results. Alternatively or in combination, other chamber components, such as a slit valve 102 for transferring a substrate into and out of the process chamber 110, and/or the geometry of the process chamber 110 itself may be the cause or may contribute to any flow asymmetry in the process chamber 110 that may be mitigated by use of the inventive apparatus disclosed herein. Although described herein primarily in connection with flow asymmetries within the process chamber, embodiments of the present invention may also be used to compensate for other asymmetries that affect the plasma or processing within the process chamber as well.
  • The plasma generator may be any suitable plasma generator such as a radio frequency (RF) plasma generator, a microwave plasma generator, a remote plasma generator, or the like. In some embodiments, the plasma generator comprises a signal generator 118 coupled to an electrode. The signal generator 118 generally provides energy at frequency suitable to form and/or maintain a plasma in the process chamber, or remote from the process chamber, from process gases being supplied to the processing volume 115 of the process chamber 110. For example, in some embodiments, the signal generator 118 may provide a signal at a frequency of about 50 kHz to about 2.45 GHz (e.g., in the RF to microwave spectrum). The plasma generator is configured to provide an asymmetric plasma within the process chamber that can compensate for the asymmetric pressure/flow conditions within the chamber. The signal generator 118 may be coupled to the electrode through a first matching network 119 to minimize reflected power during use.
  • In some embodiments, the electrode may be an antenna 111 comprising at least one RF coil. The antenna 111 may be disposed above the substrate support 116. In some embodiments, such as illustrated in FIG. 1, the antenna 111 may be disposed above the ceiling 120 and configured to inductively couple RF energy to a process gas provided to the processing volume 115 of the chamber 110. The antenna 111 may be coupled to the signal generator 118 through the first matching network 119.
  • Embodiments of the antenna 111 are shown in more detail in FIGS. 2A-B, which illustrate top views of the reactor 100 in accordance with some embodiments of the present invention. For clarity, each RF coil 112, 148 that may be included in one or more embodiments of the antenna 111 is illustrated separately. However, as shown in FIG. 1, in some embodiments, both RF coils 112, 148 may be simultaneously included in the reactor 100.
  • In some embodiments, the antenna 111 may include the first RF coil 112 disposed above the substrate support 116 as illustrated in FIGS. 1 and 2B. Upon application of RF energy to the first RF coil 112, the first RF coil 112 may produce an electric field that is asymmetric about a central axis 113 of the substrate support 116 (and substrate when disposed thereon). The asymmetric electric field may be configured to correlate with the asymmetric flow pattern within the processing volume 115 (e.g., the regions of high and low pressure due to the asymmetric pump port 125). For example, the first RF coil 112 may be configured such that the electric field produced within the processing volume 115 during use is weaker above a first portion 117 of the processing volume 115 proximate the pump port 125 (e.g., a region of low pressure) than above a second portion 121 of the processing volume 115 opposite the pump port 125 (e.g., a region of high pressure). The electric field produced by the first RF coil 112 may be configured to be of intermediate strength above a third portion 123 of the processing volume 115 disposed between the first portion 117 and the second portion 121 (e.g., regions of intermediate pressure). In some embodiments, the electric field produced by the first RF coil 112 may be configured to be weakest in regions of lower pressures and strongest in regions of higher pressures of the processing volume 115. Thus, the first RF coil 112 may be configured to provide an asymmetric electric field produced by RF energy flowing along the first RF coil 112 that may at least partially compensate for flow non-uniformity due to asymmetric pumping resulting from the location of the pump port 125 with respect to the processing volume 115. The asymmetric electric field, in turn, provides an asymmetric plasma having regions of greater and lesser plasma density in corresponding regions of stronger and weaker electric fields. The inventors believe that the asymmetric plasma may be redistributed by the asymmetric pressure/flow conditions within the processing volume, resulting in a more uniform plasma, and therefore, more uniform processing results. By providing this benefit without the use of baffles and/or flow distributors within the chamber, the inventive apparatus advantageously does not impact conductance within the chamber or reduce the processing window due to narrower flow/pressure limitations.
  • The first portion 117 of the processing volume 115 proximate the pump port 125 may be a region of low pressure due to proximity to the pump port 125. The second portion 121 of the process volume 115 which opposes the first portion 117 may be a region of high pressure due to the location of the second portion 121 at the farthest distance from the pump port 125. The third portion 123 of the processing volume 115, located between the first portion 117 and the second portion 121 may be a region of intermediate pressure, higher than the pressure in the first portion 117 and lower than the pressure in the second portion 121. Accordingly, in some embodiments, the asymmetry of the electric field discussed above may be configured to be weakest above the first portion 117 and strongest above the opposing second portion 121.
  • The asymmetric electric field produced by flowing RF energy along the first RF coil 112 may be resultant from the first RF coil 112 being asymmetrically disposed about the central axis 113 of the substrate support. For example, in some embodiments, the first RF coil 112 may comprise at least one conductor 129 (FIG. 2B illustrates the first RF coil 112 having three conductors 129) winding about the central axis 113 of the substrate support 116. The at least one conductor 129 may wind toward a periphery of the processing volume 115 from a first end 131 disposed proximate the central axis 113 of the substrate support 116 to a second end 133. RF energy may be coupled to the at least one conductor 129 (for example via the signal generator 118 and the first matching network 119) at either of the first end 131 or the second end 133, with the other of the first or second ends 131, 133 coupled to ground. In some embodiments, RF energy is coupled to the first end 131 of the at least one conductor, with the second end 133 coupled to ground.
  • In some embodiments, and as illustrated in FIG. 2B, an outermost winding 135 of the at least one conductor 129 may be disposed internally from the periphery of the processing volume 115 as illustrated by a distance 137. In some embodiments the outermost winding 135 of the at least one conductor 129 may be disposed internally from the periphery of the processing volume 115. The distance 137 by which the outermost winding 135 of the at least one conductor 129 may be disposed internally from the periphery of the processing volume 115 may depend upon numerous factors such as the process chamber geometry, the dielectric window geometry (e.g., flat, domed, etc.), and the substrate size (e.g., 200 mm or 300 mm wafers, square or rectangular panels, or the like). The outermost winding 135 of the at least one conductor 129 may be disposed radially inward or outward in relation to the outer diameter of the substrate support 116.
  • The inventors have unexpectedly discovered that even if the at least one conductor 129 was symmetric (not shown), an improvement in process uniformity can be achieved by disposing the outermost winding 135 internally from the periphery of the processing volume 115. For example, in conventional processing apparatus, the outermost winding of a symmetrically conductor coil is typically disposed proximate the periphery of a processing volume of the processing apparatus. However, the inventors discovered that by moving the outermost winding of a symmetrical conductor coil away from the periphery of the processing apparatus, process uniformity in an etch process unexpectedly improved by about 25 percent. The inventors have further discovered that by introducing an asymmetry into the at least one conductor 129, a further improvement in process uniformity can be achieved.
  • For example, as illustrated in FIGS. 1 and 2B, the at least one conductor 129 of the first RF coil 112 may be disposed asymmetrically about the central axis 113 of the substrate support 116. As shown in FIG. 2B, the first RF coil 112 may include a plurality of conductors 129 (three conductors 129 are illustrated in FIG. 2B), where each conductor 129 may be asymmetrically disposed about the central axis 113. However, the embodiment of FIG. 2B is merely one exemplary embodiment of the present invention. For example, in some embodiments, one or any number of the plurality of conductors 129 may be asymmetrically disposed to provide an asymmetric electric field when RF energy flows along each of the plurality of conductors 129 of the first RF coil 112.
  • The at least one conductor 129, illustrated as a coil winding asymmetrically about the central axis 113 in FIG. 2B may include a plurality of windings about the central axis 113 as shown. For example, the at least one conductor 129 may include a first winding, for example such as an innermost winding 139 of the at least one conductor 129, and a second winding, for example such as the outermost winding 135. Although only two windings, e.g., the innermost winding 139 and the outermost winding 135 are illustrated in an exemplary embodiments of the first RF coil 112 in FIG. 2B, the at least one conductor 129 may include any desired number of windings necessary to provide the desired characteristics of an electric field as discussed above. In some embodiments, a distance between the first winding and the second winding may vary. For example, the distance 141 diverges between the innermost winding 139 and the outermost winding 135. In some embodiments, the distance between the windings of the at least one conductor 129 is greatest above the first portion 117 of the processing volume 115 proximate the pump port 125. For example, a distance 143 between the innermost winding 139 and the outermost winding 135 of the at least one conductor 129 may be greatest above the first portion 117 of the processing volume 115.
  • In some embodiments, where a plurality of conductors 129 are used, a distance between adjacent conductors 129 may be constant along respective corresponding lengths of the adjacent conductors. For example, a distance 147 between adjacent conductors 129 may be constant along the respective lengths of the adjacent conductors 129.
  • In some embodiments, and as shown in FIGS. 1 and 2A, the antenna 111 may further include a second RF coil 148 disposed above the substrate support 116 and configured to inductively couple RF energy into a process gas provided to the processing volume 115 of the process chamber 110. As illustrated in FIG. 1, both the first RF coil 112 and the second RF coil 148 may be coupled to the signal generator 118 via the first matching network 119. In some embodiments, a device for distributing power between the first and second RF coils 112, 148 (such as a dividing capacitor, or the like, not shown) may be disposed at an outlet of the first match network 119 to control the percentage of RF power delivered from the signal generator 118 to the first and second RF coils 112, 148. In some embodiments, the device may be controlled by a controller 140 to selectively adjust the amount of RF power supplied to each of the first and second RF coils 112, 148 during processing.
  • In some embodiments, as shown in FIG. 1, the second RF coil 148 may be an inner coil symmetrically disposed about the central axis 113 and the first RF coil 112 may be an outer coil (relative to the inner coil). Embodiments of the first RF coil 112 are discussed above. The second RF coil 148, similar to the first RF coil 112, may include at least one conductor 149 winding about the central axis 113 of the substrate support and toward a periphery of the processing volume 115 from a first end 150 dispose proximate the central axis 113 to a second end 152. RF energy may be coupled to the at least one conductor 149 (for example via the signal generator 118 and the first matching network 119) at either of the first end 150 or the second end 152, with the other of the first or second ends 150, 152 coupled to ground. In some embodiments, RF energy is coupled to the first end 150 of the at least one conductor, with the second end 152 coupled to ground.
  • In some embodiments, and as illustrated in FIG. 2A, the second RF coil 148 may include one conductor 149 symmetrically disposed about the central axis 113. Alternatively, (not shown) the second RF coil 148 may include a plurality of conductors 149. In some embodiments, (not shown) each conductor 149 in the plurality of conductors 149 may be symmetrically disposed about the central axis 113 of the substrate support 116.
  • Although illustratively described herein in terms of an inductively coupled processing apparatus, in some embodiments, the electrode may be configured to capacitively couple energy to the process chamber. For example, in some embodiments, the electrode may be a plate electrode (not shown) or the like having a geometry such that energy is coupled to the process chamber in desired regions, or such that more energy is coupled to the process chamber in regions where a higher density plasma is desired and less energy is coupled to the process chamber where a lower density plasma is desired. In some embodiments, for example when providing a signal having a microwave frequency, the electrode may be omitted and a waveguide may be provided to route the microwave energy to a desired location to energize the process gases and form a plasma.
  • The position of the electrode, or waveguide, may be configured such that the plasma is created or provided in one or desired locations. For example, FIGS. 3A and 3B depict a remote plasma source configured to provide a plasma to the process chamber and a such an asymmetric manner to compensate for flow asymmetries within the process chamber. FIG. 3A shows a process chamber 110 having asymmetric pump port 125. A substrate support 116 for supporting a substrate 114 thereon is disposed within the process chamber and has a central axis 113. The remote plasma source may include a plasma chamber 302, such as a tube or other confined area, have a conductive coil 304 wrapped around the plasma chamber 302. The conductive coil 304 may be coupled to the signal generator 118. The plasma chamber 302 is coupled to the gas panel 138 and to the process chamber. In operation gas provided from the gas panel 138 passes through the plasma chamber 302 and is energized into a plasma by application of energy to the conductive coil 304 by the signal generator 118. The plasma chamber 302 is disposed asymmetric with respect to the central axis 113. As discussed above, the specific location of where the plasma chamber 302 is disposed depends upon the flow conditions within the process chamber 110. For example, in embodiments where higher density plasma is desired in a location further from the pump port 125, the plasma chamber 302 may be disposed on a side of the central axis 113 opposing the pump port 125, as shown in FIG. 3A. In embodiments where a higher density plasma is desired in a location closer to the pump port 125, the plasma chamber 302 may be disposed on the same side of the central axis 113 as the pump port 125, as shown in FIG. 3B. Other coils, or electrodes, may also be utilized as illustratively depicted in FIGS. 3A-B in dotted lines labeled 306. In addition, the substrate support may be coupled to an energy source, such as an RF energy source (as shown) or other energy source, similar as discussed below with respect to FIG. 1. Alternatively, the substrate support may be coupled to ground.
  • Returning to FIG. 1, the substrate support 116 (e.g., cathode) may be coupled, through a second matching network 124, to a biasing power source 122. The biasing source 122 generally may be capable of producing up to 1500 W of RF energy at a suitable frequency. In some embodiments, the frequency of the signal provided by the biasing power source may be about 400 kHz to about 13.56 MHz. The biasing power may be either continuous or pulsed power. In some embodiments, the biasing power source 122 may be a DC or pulsed DC source.
  • The controller 140 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. The controller 140 generally comprises a central processing unit (CPU) 144, a memory 142, and support circuits 146 for the CPU 144 and facilitates control of the components of the chamber 110 and, as such, of the etch process, as discussed below in further detail. The memory 142, or computer-readable medium, of the CPU 144 may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. The support circuits 146 are coupled to the CPU 144 for supporting the processor in a conventional manner. These circuits can include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like. Methods of operation of the inventive apparatus may be stored in the memory 142 as a software routine. The software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 144.
  • In operation, a semiconductor substrate 114 is placed on the substrate support 116 and process gases are supplied from a gas panel 138 through entry ports 126 and form a gaseous mixture 151. The gaseous mixture 151 is ignited into a plasma 155 in the chamber 110 by applying power from the signal generator 118 and biasing power source 122 to the first and second RF coils 112, 148 and the cathode 116, respectively. The pressure within the interior of the chamber 110 is controlled using a throttle valve 127 and a vacuum pump 136. Typically, the chamber wall 130 is coupled to an electrical ground 134. The temperature of the wall 130 may be controlled using any suitable heat transfer mechanism, such as liquid-containing conduits, resistive heaters, or the like (not shown) that are placed proximate to or within the wall 130.
  • The temperature of the substrate 114 is controlled by stabilizing a temperature of the substrate support 116. In some embodiments, helium gas from a gas source 154 is provided via a gas conduit 156 to channels (not shown) formed in the substrate support surface under the substrate 114. The helium gas is used to facilitate heat transfer between the substrate support 116 and the substrate 114. During processing, the substrate support 116 may be heated by a resistive heater (not shown) within the pedestal to a steady state temperature and then the helium gas facilitates uniform heating of the substrate 114. Using such thermal control, the substrate 114 may be maintained at a temperature of about −30 to about 60 degrees Celsius.
  • Thus, embodiments of plasma processing apparatus are provided herein. In some embodiments, the inventive apparatus may advantageously overcome asymmetry within a process chamber, for example flow asymmetries due to an asymmetrically disposed pump port with respect to a processing volume of the process chamber, without adversely affecting flow conductance and/or the process window of the process chamber. Embodiments of the inventive apparatus may be beneficial to any plasma assisted substrate processes, such as etch, deposition, or the like. Non-limiting examples of suitable processes include deep silicon (Si) etch processes used in forming MEMS devices or thru silicon via (TSV) applications.
  • While the foregoing is directed to illustrative embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof.

Claims (20)

1. A plasma processing apparatus, comprising:
a process chamber having a processing volume with a substrate support disposed therein; and
a first RF coil disposed above the substrate support to couple RF energy into the processing volume, wherein an electric field generated by RF energy moving along the first RF coil is asymmetric about a central axis of the substrate support.
2. The plasma processing apparatus of claim 1, comprising:
a pump port to remove one or more gases from the processing volume, wherein the pump port is disposed asymmetrically with respect to the processing volume.
3. The plasma processing apparatus of claim 2, wherein the first RF coil is configured such that the electric field produced during use is weaker above a first portion of the processing volume proximate the pump port than above a second portion of the processing volume opposing the pump port.
4. The plasma processing apparatus of claim 2, wherein the electric field is weaker above a first portion of the processing volume proximate the pump port than above a third portion of the processing volume adjacent to the first portion of the processing volume.
5. The plasma processing apparatus of claim 2, wherein the first RF coil is asymmetrically disposed about the central axis of the substrate support.
6. The plasma processing apparatus of claim 2, wherein the first RF coil further comprises:
at least one conductor winding about the central axis of the substrate support and toward a periphery of the processing volume from a first end disposed proximate the central axis of the substrate support to a second end.
7. The plasma processing apparatus of claim 6, wherein an outermost winding of the at least one conductor is disposed internally from the periphery of the processing volume.
8. The plasma processing apparatus of claim 7, wherein the at least one conductor further comprises:
a first winding; and
a second winding adjacent to the first winding, wherein a distance between the first winding and the second winding varies.
9. The plasma processing apparatus of claim 8, wherein the distance is largest above a first portion of the processing volume proximate the pump port.
10. The plasma processing apparatus of claim 2, wherein the first RF coil further comprises:
a plurality of conductors winding about the central axis of the substrate support and toward a periphery of the processing volume from respective first ends of the plurality of conductors disposed proximate the central axis of the substrate support to respective second ends of the plurality of conductors.
11. The plasma processing apparatus of claim 10, wherein at least one of the plurality of conductors is disposed asymmetrically about the central axis of the substrate support.
12. The plasma processing apparatus of claim 10, wherein each of ones of the plurality of conductors are disposed asymmetrically about the central axis of the substrate support and wherein each of ones of the plurality of conductors are disposed symmetrically with respect to each other.
13. The plasma processing apparatus of claim 12, wherein a distance between any two adjacent conductors is constant along respective lengths of the adjacent conductors.
14. The plasma processing apparatus of claim 1, further comprising:
a second RF coil disposed above the substrate support to couple RF energy into the processing volume.
15. The plasma processing apparatus of claim 14, wherein the first RF coil is an outer coil and the second RF coil is an inner coil symmetrically disposed about the central axis of the substrate support.
16. The plasma processing apparatus of claim 15, wherein the second RF coil further comprises:
at least one conductor winding about the central axis of the substrate support and toward a periphery of the processing volume from a first end disposed proximate the central axis of the substrate support to a second end.
17. The plasma processing apparatus of claim 1, wherein the process chamber further comprises:
a dome disposed above the substrate support, wherein the first RF coil is disposed about the dome external to the processing volume.
18. A plasma processing apparatus, comprising:
a process chamber having a processing volume with a substrate support disposed therein and a ceiling disposed above the substrate support;
an outer RF coil disposed proximate the ceiling external to the processing volume to couple RF energy into the processing volume, wherein the outer RF coil includes at least one first conductor asymmetrically disposed about the central axis of the substrate support;
an inner RF coil about the ceiling external to the processing volume to couple RF energy into the processing volume, wherein the inner RF coil includes a second conductor symmetrically disposed about the central axis of the substrate support; and
a pump port disposed asymmetrically with respect to the processing volume, wherein the electric field is weaker above a first portion of the processing volume proximate the pump port than above a second portion of the processing volume opposing the pump port.
19. The plasma processing apparatus of claim 18, wherein the outer RF coil further comprises:
a plurality of conductors winding about the central axis of the substrate support and toward a periphery of the processing volume from respective first ends of the plurality of conductors disposed proximate the central axis of the substrate support to respective second ends of the plurality of conductors, and wherein an outermost winding of the plurality of conductors is disposed internally from the periphery of the processing volume.
20. A plasma processing apparatus, comprising:
a process chamber having a processing volume with a substrate support disposed therein;
a pump port disposed asymmetrically with respect to the processing volume; and
a plasma generator comprising:
an signal generator; and
an electrode coupled to the signal generator to create an electric field within the processing volume upon application of energy from the signal generator, wherein the electric field has an asymmetric geometry relative to a central axis of the substrate support.
US13/240,451 2010-10-28 2011-09-22 Plasma processing apparatus with reduced effects of process chamber asymmetry Abandoned US20120103524A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US13/240,451 US20120103524A1 (en) 2010-10-28 2011-09-22 Plasma processing apparatus with reduced effects of process chamber asymmetry
TW100136764A TW201234934A (en) 2010-10-28 2011-10-11 Plasma processing apparatus with reduced effects of process chamber asymmetry
KR1020137013348A KR20130140035A (en) 2010-10-28 2011-10-25 Plasma processing apparatus with reduced effects of process chamber asymmetry
PCT/US2011/057577 WO2012058184A2 (en) 2010-10-28 2011-10-25 Plasma processing apparatus with reduced effects of process chamber asymmetry
CN2011800500026A CN103168507A (en) 2010-10-28 2011-10-25 Plasma processing apparatus with reduced effects of process chamber asymmetry

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US40788210P 2010-10-28 2010-10-28
US13/240,451 US20120103524A1 (en) 2010-10-28 2011-09-22 Plasma processing apparatus with reduced effects of process chamber asymmetry

Publications (1)

Publication Number Publication Date
US20120103524A1 true US20120103524A1 (en) 2012-05-03

Family

ID=45994687

Family Applications (1)

Application Number Title Priority Date Filing Date
US13/240,451 Abandoned US20120103524A1 (en) 2010-10-28 2011-09-22 Plasma processing apparatus with reduced effects of process chamber asymmetry

Country Status (5)

Country Link
US (1) US20120103524A1 (en)
KR (1) KR20130140035A (en)
CN (1) CN103168507A (en)
TW (1) TW201234934A (en)
WO (1) WO2012058184A2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120152900A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods and apparatus for gas delivery into plasma processing chambers
US20120208371A1 (en) * 2011-02-15 2012-08-16 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US20140083615A1 (en) * 2012-09-25 2014-03-27 Gen Co., Ltd. Antenna assembly and a plasma processing chamber having the same
US20160010207A1 (en) * 2013-04-03 2016-01-14 Dongjun Wang Plasma-Enhanced Atomic-Layer Deposition System and Method
US10032604B2 (en) 2015-09-25 2018-07-24 Applied Materials, Inc. Remote plasma and electron beam generation system for a plasma reactor
US10037883B2 (en) 2013-03-15 2018-07-31 Applied Materials, Inc. Enhanced productivity for an etch system through polymer management
US10249475B2 (en) 2014-04-01 2019-04-02 Applied Materials, Inc. Cooling mechanism utlized in a plasma reactor with enhanced temperature regulation
US10290469B2 (en) 2013-06-17 2019-05-14 Applied Materials, Inc. Enhanced plasma source for a plasma reactor

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9714462B2 (en) * 2014-10-08 2017-07-25 Applied Materials, Inc. Vacuum pre-wetting apparatus and methods
US20180005851A1 (en) * 2016-07-01 2018-01-04 Lam Research Corporation Chamber filler kit for dielectric etch chamber
JP6909824B2 (en) 2019-05-17 2021-07-28 株式会社Kokusai Electric Substrate processing equipment, semiconductor equipment manufacturing methods and programs
CN113133175B (en) * 2019-12-31 2024-02-09 中微半导体设备(上海)股份有限公司 Plasma inductance coil structure, plasma processing equipment and processing method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5888413A (en) * 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US6274502B1 (en) * 1998-02-26 2001-08-14 Matsushita Electronics Corporation Method for plasma etching
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US20020041160A1 (en) * 2000-04-06 2002-04-11 Applied Materials, Inc. Method for controlling etch uniformity
US6531029B1 (en) * 2000-06-30 2003-03-11 Lam Research Corporation Vacuum plasma processor apparatus and method

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000299199A (en) * 1999-04-13 2000-10-24 Plasma System Corp Plasma generating device and plasma processing device
US20040261718A1 (en) * 2003-06-26 2004-12-30 Kim Nam Hun Plasma source coil for generating plasma and plasma chamber using the same
KR100528253B1 (en) * 2003-07-07 2005-11-15 어댑티브프라즈마테크놀로지 주식회사 Plasma source having low ion flux and high impedance, -and Plasma chamber using the same
KR20050049169A (en) * 2003-11-21 2005-05-25 삼성전자주식회사 System for generating inductively coupled plasma and antenna coil structure for generating inductive electric field
KR100584120B1 (en) * 2004-03-30 2006-05-30 에이피티씨 주식회사 Plasma source coil and plasma chamber using the same
KR100530596B1 (en) * 2004-03-30 2005-11-23 어댑티브프라즈마테크놀로지 주식회사 Plasma apparatus comprising plasma source coil for high process uniformity on wafer
CN101136279B (en) * 2006-08-28 2010-05-12 北京北方微电子基地设备工艺研究中心有限责任公司 Jigger coupling coil and jigger coupling plasma device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5888413A (en) * 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6274502B1 (en) * 1998-02-26 2001-08-14 Matsushita Electronics Corporation Method for plasma etching
US20020041160A1 (en) * 2000-04-06 2002-04-11 Applied Materials, Inc. Method for controlling etch uniformity
US6531029B1 (en) * 2000-06-30 2003-03-11 Lam Research Corporation Vacuum plasma processor apparatus and method

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120152900A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods and apparatus for gas delivery into plasma processing chambers
US20120208371A1 (en) * 2011-02-15 2012-08-16 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US9809881B2 (en) * 2011-02-15 2017-11-07 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US20140083615A1 (en) * 2012-09-25 2014-03-27 Gen Co., Ltd. Antenna assembly and a plasma processing chamber having the same
US10037883B2 (en) 2013-03-15 2018-07-31 Applied Materials, Inc. Enhanced productivity for an etch system through polymer management
US20160010207A1 (en) * 2013-04-03 2016-01-14 Dongjun Wang Plasma-Enhanced Atomic-Layer Deposition System and Method
US10290469B2 (en) 2013-06-17 2019-05-14 Applied Materials, Inc. Enhanced plasma source for a plasma reactor
US10249475B2 (en) 2014-04-01 2019-04-02 Applied Materials, Inc. Cooling mechanism utlized in a plasma reactor with enhanced temperature regulation
US10991552B2 (en) 2014-04-01 2021-04-27 Applied Materials, Inc. Cooling mechanism utilized in a plasma reactor with enhanced temperature regulation
US10032604B2 (en) 2015-09-25 2018-07-24 Applied Materials, Inc. Remote plasma and electron beam generation system for a plasma reactor

Also Published As

Publication number Publication date
TW201234934A (en) 2012-08-16
WO2012058184A3 (en) 2012-06-21
CN103168507A (en) 2013-06-19
WO2012058184A2 (en) 2012-05-03
KR20130140035A (en) 2013-12-23

Similar Documents

Publication Publication Date Title
US20120103524A1 (en) Plasma processing apparatus with reduced effects of process chamber asymmetry
US10770328B2 (en) Substrate support with symmetrical feed structure
US8299391B2 (en) Field enhanced inductively coupled plasma (Fe-ICP) reactor
US8933628B2 (en) Inductively coupled plasma source with phase control
US10573493B2 (en) Inductively coupled plasma apparatus
JP5800547B2 (en) Plasma processing apparatus and plasma processing method
US9779953B2 (en) Electromagnetic dipole for plasma density tuning in a substrate processing chamber
US20110097901A1 (en) Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US10276349B2 (en) Plasma processing device
CN102686005A (en) Plasma processing apparatus and plasma processing method
CN101295629B (en) Methods to eliminate M-shape etch rate profile in inductively coupled plasma reactor
US20110094683A1 (en) Rf feed structure for plasma processing
US9839109B1 (en) Dynamic control band for RF plasma current ratio control
US20180047542A1 (en) Inductively coupled plasma chamber having a multi-zone showerhead
KR20200101993A (en) Process kit for substrate support
KR20110046256A (en) Dual Mode Inductively Coupled Plasma Reactor With Adjustable Phase Coil Assembly
US20140102641A1 (en) Field enhanced inductively coupled plasma processing apparatus and plasma forming method

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEBI, ROBERT;CHESHIRE, ALAN;DETMAR, STANLEY;AND OTHERS;SIGNING DATES FROM 20111012 TO 20111019;REEL/FRAME:027094/0206

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE