KR20140009370A - 멀티존 플라즈마 생성을 위한 방법 및 장치 - Google Patents

멀티존 플라즈마 생성을 위한 방법 및 장치 Download PDF

Info

Publication number
KR20140009370A
KR20140009370A KR1020137024516A KR20137024516A KR20140009370A KR 20140009370 A KR20140009370 A KR 20140009370A KR 1020137024516 A KR1020137024516 A KR 1020137024516A KR 20137024516 A KR20137024516 A KR 20137024516A KR 20140009370 A KR20140009370 A KR 20140009370A
Authority
KR
South Korea
Prior art keywords
substrate
plasma
film
pressure
forming
Prior art date
Application number
KR1020137024516A
Other languages
English (en)
Other versions
KR101893471B1 (ko
Inventor
매튜 스코트 로저스
즈홍 퀴앙 후아
크리스토퍼 에스. 올슨
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140009370A publication Critical patent/KR20140009370A/ko
Application granted granted Critical
Publication of KR101893471B1 publication Critical patent/KR101893471B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/32Plasma torches using an arc
    • H05H1/34Details, e.g. electrodes, nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Abstract

본 발명의 실시예들은 주어진 압력에서 플라즈마 내의 이온대 라디칼의 비를 조절함으로써 기판 및 기판에 배치된 디바이스들 상에 막을 형성하도록 기판을 플라즈마 프로세싱하기 위한 방법 및 장치를 제공한다. 주어진 압력은 일 플라즈마 소스를 사용하여 이온 생산이 촉진되도록 유지될 수 있고, 제 2 플라즈마 소스는 추가적인 라디칼들을 제공하는데 사용될 수 있다. 일 실시예에서, 낮은 압력 플라즈마는 기판이 내부에 위치된 프로세싱 영역 안에서 생성되고, 높은 압력 플라즈마는 별도의 영역에서 생성된다. 높은 압력 플라즈마로부터의 라디칼들은 낮은 압력 플라즈마를 가진 프로세싱 영역으로 주입되고, 따라서 주어진 작동 압력에서 라디칼들 대 이온들의 자연 분포는 변화한다. 결과적인 프로세스 및 장치는 고종횡비 피쳐들 상에서 막들의 형성의 더 나은 제어를 허용하도록 이온 대 라디칼의 비를 조절할 수 있고, 따라서 코너 라운딩, 측벽에서 바닥으로의 트렌치 성장의 컨포멀리티 및 선택적 성장을 개선한다.

Description

멀티존 플라즈마 생성을 위한 방법 및 장치 {METHOD AND APPARATUS FOR MULTIZONE PLASMA GENERATION}
[0001] 본 발명의 실시예들은 일반적으로 기판들의 플라즈마 프로세싱을 위한 방법 및 장치에 관한 것이고 보다 구체적으로 멀티존 플라즈마 생성을 이용하여 플라즈마 내의 이온들 대 라디칼들의 비를 제어하는 것에 의한 기판 또는 기판에 배치된 디바이스들 상에서의 막 형성에 관한 것이다.
[0002] 논리 디바이스들이 무어의 법칙에 따라 계속 작아짐에 따라, 프로세싱 어려움들이 증가한다. 이러한 디바이스들의 임계 치수들이 축소됨에 따라, 다양한 부품들의 기하구조는 제조자들에게 더욱 어려워진다. 종횡비들은 증가하고 균일성, 허용 오차(tolerance) 및 신뢰성 이슈들은 급증한다. 또한, 주어진 압력에서 플라즈마 내의 이온들과 라디칼들의 자연 분포에 영향을 받는 코너 라운딩, 바닥에서 측면으로의 트렌치 성장 컨포멀리티, 및 선택적 성장을 포함하는 이슈들이 현저하다. 따라서 스케일링 어려움들 특히 논리 디바이스들에서의 어려움을 극복하기 위해 주어진 압력에서 플라즈마 내의 이온 대 라디칼의 비를 조절하기 위한 방법들 및 장치가 요구된다.
[0003] 본 발명의 일 실시예에서, 기판 상에 막을 형성하기 위한 방법은 제 1 압력에서 프로세싱 챔버의 프로세싱 영역 내의 제 1 가스로부터 제 1 플라즈마를 생성하는 단계, 제 2 압력에서 상기 프로세싱 영역의 외부의 제 2 가스로부터 제 2 플라즈마를 생성하는 단계, 상기 제 1 플라즈마와 혼합하기 위해 상기 프로세싱 영역으로 제 2 플라즈마의 유동을 주입하는 단계 및 상기 프로세싱 영역내의 기판 지지체 상에 위치된 상기 기판을 가열하는 단계를 포함한다.
[0004] 다른 실시예에서, 기판 상에 막을 형성하기 위한 반응기는 프로세싱 영역을 형성하도록 위치된 리드, 측벽, 및 기판 지지체를 구비하는 프로세싱 챔버, 상기 챔버에 결합되고 상기 프로세싱 영역에 프로세스 가스를 전달하도록 구성된 가스 소스, 상기 프로세싱 챔버에 결합되고 상기 프로세싱 영역 내에서 상기 프로세스 가스의 제 1 플라즈마를 생성하도록 구성된 RF 소스, 상기 챔버에 결합되고 상기 제 1 플라즈마와 혼합하기 위해 제 1 압력에서 생성된 제 2 플라즈마를 상기 프로세싱 영역으로 전달하도록 구성된 원격 플라즈마 소스 및 상기 프로세싱 챔버에 결합되고 제 2 압력에서 상기 프로세싱 영역을 유지하도록 구성된 진공 펌프(상기 제 1 압력은 상기 제 2 압력보다 큼)를 포함한다. 상기 기판 지지체는 상기 기판 지지체 상에 배치된 기판을 적어도 약 500℃의 온도로 가열하도록 구성된 히터를 포함한다.
[0005] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0006] 도 1은 반도체 구조물의 간략한 횡단면도이다.
[0007] 도 2a는 일 실시예에 따른 반응기의 간략한 횡단면도이다.
[0008] 도 2b는 다른 실시예에 따른 반응기의 간략한 횡단면도이다.
[0009] 도 3은 일 실시예에 따른 프로세스의 블록 다이아그램이다.
[0010] 본 발명의 실시예들은 주어진 압력에서 플라즈마 내의 이온들 대 라디칼들의 비를 제어함으로써 기판과 기판 상에 배치된 디바이스들 상에 막을 형성하도록 기판을 플라즈마 프로세싱하기 위한 방법 및 장치를 제공한다. 주어진 압력은 일 플라즈마 소스를 이용하여 이온 생산을 촉진하도록 유지될 수 있고, 제 2 플라즈마 소스는 추가적인 라디칼들을 제공하는데 사용될 수 있다. 일 실시예에서, 낮은 압력 플라즈마는 내부에 기판이 위치된 프로세싱 영역 안에서 생성되고, 높은 압력 플라즈마는 별도의 영역 내에서 생성된다. 높은 압력 플라즈마로부터의 라디칼들은 낮은 압력 플라즈마를 가진 프로세싱 영역으로 주입되고, 따라서 주어진 작동 압력에서 라디칼들 대 이온들의 자연 분포는 변한다. 결과적인 프로세스 및 장치는 고종횡비 피쳐들 상에서 막들의 형성의 더 나은 제어를 허용하도록 이온 대 라디칼의 비를 조절할 수 있고, 따라서 코너 라운딩, 측벽에서 바닥으로의 트렌치 성장의 컨포멀리티 및 선택적 성장을 개선한다.
[0011] 도 1은 반도체 구조물(100)의 간략한 횡단면도이다. 구조물(100)은 기판 상에 배치된 다수의 피쳐들(120)을 구비하는 기판(110)을 포함할 수 있다. 기판(110)은 결정질 실리콘, 실리콘 산화물들, 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들, 패턴화된 또는 패턴화되지 않은 실리콘 웨이퍼들, 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 갈륨 비화물, 유리, 사파이어 또는 유사물과 같은 물질을 포함할 수 있다.
[0012] 일 실시예에서, 피쳐들(120)은 그 위로 산화물 또는 질화물 같은 막이 형성되어야 하는 막 스택(stack)들이다. 예를 들면, 피쳐들(120)은 터널 산화물 층, 플로팅 게이트 층, 단일 또는 다중 레이어 유전체 층 및 조절 게이트 층 중 하나 또는 그 이상을 포함하는 플래시 메모리 셀의 게이트 스택일 수 있다.
[0013] 피쳐들(120)은 그들 사이에 트렌치들(130)을 형성하는 고종횡비 피쳐들일 수 있다. 각 트렌치(130)는 측벽들(132, 134)과 바닥 벽(136)에 의해 규정된다. 고종횡비 피쳐들(120)을 가진 구조물(100) 상에 막을 형성할 때, 측벽들(132, 134) 및 바닥 벽(136) 상에 컨포멀한 성장을 유지하는 것은 어렵다. 또한, 코너 라운딩은 트렌치(130)의 상부 측부에서 피쳐들(120) 상에 자라고 있는 막의 "핀치 오프"를 방지하는 것뿐 아니라 피쳐들(120) 상에 날카로운 코너들을 방지하는 데에도 이점이 있다.
[0014] 요구되는 압력에서 구조물(100)을 플라즈마 프로세싱할 때, 주어진 수의 이온들(150)(즉, 대전된 원자들) 및 라디칼들(160)(즉,중성의 원자들)이 생성된다. 즉, 이온들(150) 대 라디칼들(160)의 비는 압력에 의존한다. 이온들(150)과 라디칼들(160)의 방향성 또한 사용된 압력에 의존한다는 것이 밝혀졌다. 예를 들면, 낮은 압력(예를 들어 500 mTorr 미만)은 바닥 벽(136)과 같은 바닥 피쳐들 상에 성장을 허용하는데 바람직한데, 이는 프로세싱 압력이 높을 때, 이온들(150) 및 라디칼들(160)은 충돌하고, 측벽들(132, 134)쪽으로 힘을 받으며 바닥 벽(136)에 닿지 않기 때문이다.
[0015] 그러나 그들의 자연 전하 때문에, 낮은 압력 플라즈마 내의 이온들(150)은 인가된 바이어스를 가진 기판(110)을 향해 가속된다. 이 가속은 측벽들(132, 134)보다 바닥 벽(136)에서 더 큰 막 성장을 초래한다. 따라서 이온들(150)은 바이어스와 압력 모두에 기반한 방향성을 나타내는 반면, 중성인 라디칼들(160)은 같은 방향성을 나타내지 않는다. 따라서, 일부 실시예들에서, 고종횡비 피쳐상에 막을 성장시킬 때 컨포멀리티 및 코너 라운딩의 더 나은 제어를 위해 압력의 증가 없이 프로세싱 영역 안의 라디칼들(160)의 수를 증가시키는 것이 바람직하다.
[0016] 도 2a는 본 발명의 일 실시예에 따른 반응기(200)의 간략한 횡단면도이다. 반응기는 원통형 측벽(212)과 (도시된 것처럼)돔 형상 기하구조 및 플랫 기하구조 또는 다른 기하구조 중 하나일 수 있는 천장(214)을 구비한 챔버(210)를 포함한다. 코일 안테나(216)을 구비한 플라즈마 소스 파워 인가기가, 천장(214) 위에 배치될 수 있으며 제 1 임피던스 매치 네트워크(218)를 통해 전원에 결합될 수 있다. 전원은 RF 전력 생성기(220) 및 전력 생성기(220)의 출력부에 게이트(222)를 구비할 수 있다.
[0017] 반응기(200)는 기판(110)(예를 들어, 200 mm 또는 300 mm 웨이퍼 또는 유사물)을 유지하기 위해 정전 척 또는 다른 적절한 기판 지지체일 수 있는 기판 지지 페디스털(226) 또한 포함할 수 있다. 히터(234)는 기판 지지 페디스털(226) 내에 배치된다. 히터(234)는 싱글 또는 도 2a에 도시된 것과 같이 방사상 내부 및 외부 가열 요소들(234a 및 234b)을 구비한 듀얼 방사상 구역 히터와 같은 다중 구역 히터일 수 있다. 일 실시예에서, 히터(234)는 기판(110)을 약 700℃ 또는 그 초과와 같이 약 500℃ 또는 그 초과의 온도로 가열하도록 구성된다.
[0018] 기판 지지 페디스털(226)은 스템(290)에 의해 지지된다. 스템(290)은 히터(234)를 위한 와이어링(292) 뿐만 아니라 기판(110)을 프로세싱(예를 들면, 용량성 플라즈마 프로세싱) 및/또는 척킹하기 위한 바이어스를 기판(110)에 인가하기 위해 페디스털(226) 내에 위치된 전극들(도시되지 않음)을 수용한다. 일 실시예에서, 특히 도 2b(이후 후술됨)에 도시된 프로세싱 구성이 이용될 경우에는, 액추에이터 어셈블리(295)가 스템(290)에 부착되고 프로세싱 동안 좀 더 균일한 프로세싱을 제공하도록 페디스털(226)과 차례로 그 위에 위치된 기판(110)을 회전시키도록 구성된다.
[0019] 또한, 반응기(200)는 챔버(210)의 내부에 결합된 가스 주입 시스템(228) 및 진공 펌프(230)를 포함한다. 가스 주입 시스템(228)은 산소 컨테이너(232), 수소 컨테이너(262) 및/또는 질소 컨테이너(270)를 포함할 수 있는 가스 소스에 의해 공급된다. 수증기 소스 및 비활성 가스 소스(도시되지 않음)와 같은 다른 프로세스 가스 소스들이 포함될 수 있다. 유동 제어 밸브들(266, 264 및 268)은 산소 컨테이너(232), 수소 컨테이너(262) 및 질소 컨테이너(270)에 각각 결합될 수 있고, 프로세스 중에 챔버(210)의 프로세싱 영역(239)에 프로세스 가스들 또는 프로세스 가스 혼합물들을 선택적으로 제공하도록 이용될 수 있다. 추가적인 가스들 또는 가스 혼합물들을 제공하기 위한 다른 가스 소스들(도시되지 않음) 또한 제공될 수 있다. 챔버(210) 내부의 압력은 진공 펌프(230)의 스로틀 밸브(238)에 의해 제어될 수 있다. 일 실시예에서, 진공 펌프(230) 및 스로틀 밸브(238)는 챔버(210) 내부의 압력을 약 500 mTorr 또는 그 미만(약 150 mTorr 또는 그 미만과 같은)의 압력으로 제어하도록 구성된다.
[0020] 추가적인 가스 주입 시스템(229)은 마이크로 파의 용량성 결합된, 또는 유도성 결합된 원격 플라즈마 소스와 같은 원격 플라즈마 소스(280)에 유체 결합된다. 일 실시예에서, 원격 플라즈마 소스는 약 1 Torr 또는 그 초과의 압력에서 플라즈마를 생성하도록 구성된다. 가스 주입 시스템(229)은 산소 컨테이너(233), 수소 컨테이너(263) 및/또는 질소 컨테이너(271)를 포함할 수 있는 가스 소스에 의해 공급된다. 유동 제어 밸브들(267, 265 및 269)은 산소 컨테이너(233), 수소 컨테이너(263) 및 질소 컨테이너(271)에 각각 결합될 수 있고 원격 플라즈마 소스(280)에 프로세스 가스들 또는 프로세스 가스 혼합물들을 선택적으로 제공하도록 사용될 수 있다.
[0021] 일 실시예에서, 도 2a에 도시된 것처럼 가스 주입 시스템(229)은 원격 플라즈마 소스(280)로부터 챔버(210)의 프로세싱 영역(239)으로 플라즈마를 균일하게 주입하기 위한 다수의 오리피스들을 구비하는 샤워 헤드(288)를 포함한다. 그러나, 도 2b에 도시된 것처럼 플라즈마는 싱글 오리피스(289)를 통해 대신 주입될 수 있다.
[0022] 도 2b는 다른 실시예에 따른 반응기(200)의 간략한 횡단면도이다. 도 2b에 도시된 반응기(200)는 가스 주입 시스템(229)의 포지셔닝을 제외하면 도 2a의 반응기(200)와 동일하다. 도 2a에 도시된 가스 주입 시스템(229)은 챔버(210)의 천장(214)을 통해 원격 플라즈마 소스(280)로부터 프로세싱 영역(239)으로 플라즈마를 주입하도록 위치되는 반면, 도 2b에 도시된 가스 주입 시스템(229)은 측벽(212) 내의 싱글 오리피스(289)를 통해 원격 플라즈마 소스(280)로부터 프로세싱 영역(239)으로 플라즈마를 주입하도록 위치된다.
[0023] 산소 컨테이너들(232, 233)은 예를 들면 산소(O2), 오존(O3), 아산화질소(N2O) 또는 산화 질소(NO)와 같은 산소 함유 가스를 수용할 수 있다. 수소 컨테이너들(262, 263)은 수소(H2)와 같은 수소 함유 가스를 수용할 수 있다. 질소 컨테이너들(270, 271)은 질소(N2), 암모니아(NH3) 또는 하이드라진(N2H4)과 같은 질소 함유 가스를 포함할 수 있다.
[0024] 도 3은 본 발명의 일 실시예에 따른 기판(110)과 같은 기판 상에 막을 형성하기 위한 프로세스(300)의 블록 다이아그램이다. 일 실시예에서, 기판 상에 형성된 피쳐들(120)을 구비한 기판(110)은 먼저 프로세스(300)의 블록(310)에서 기판 페디스털(226) 상에 위치된다. 기판(110)은 챔버(210)의 측벽(212) 내에 위치된 슬릿 밸브(도시되지 않음)를 통해 챔버(210)로 이송될 수 있다. 블록(320)에서 프로세스 가스는 가스 주입 시스템(228)을 경유하여 프로세싱 영역(239)으로 전달될 수 있다. 산화 프로세스가 요구되는 실시예에서, 산소 함유 가스는 산소 컨테이너(232)로부터 공급될 수 있고 수소 함유 가스는 수소 컨테이너(262)로부터 공급될 수 있다. 질화 프로세스가 요구되는 다른 실시예에서, 질소 함유 가스는 질소 컨테이너(270)로부터 공급될 수 있고 수소 함유 가스는 수소 컨테이너(262)로부터 공급될 수 있다. 블록(330)에서 프로세싱 영역(239)으로 공급된 가스 또는 가스 혼합물은 약 500 mTorr 또는 그 미만과 같이 낮은 압력에서 프로세싱 영역(239)내에서 플라즈마로 여기된다.
[0025] 블록(340)에서, 프로세스 가스는 원격 플라즈마 소스(280)로 전달될 수 있다. 산화 프로세스가 요구되는 실시예에서, 산소 함유 가스는 산소 컨테이너(233)로부터 공급될 수 있고, 수소 함유 가스는 수소 컨테이너(263)로부터 공급될 수 있다. 질화 프로세스가 요구되는 다른 실시예에서, 질소 함유 가스는 질소 컨테이너(271)로부터 공급될 수 있고, 수소 함유 가스는 수소 컨테이너(263)로부터 공급될 수 있다. 블록(350)에서, 가스 또는 가스 혼합물은 1 Torr 또는 그 초과와 같은 높은 압력에서 원격 플라즈마 소스(280) 내에서 플라즈마로 여기될 수 있다.
[0026] 블록(360)에서 원격 플라즈마 소스(280) 내에서 생성된 플라즈마는 프로세싱 영역(239)으로 주입된다. 원격 플라즈마 소스(280) 내에서 생성된 플라즈마는 높은 압력에서 생성되었기 때문에, 그리고 생성된 라디칼들의 수가 이런 높은 압력들에서 생성된 이온들의 수에 비해 현저히 많기 때문에, 원격 플라즈마 소스(280)로부터 주입된 플라즈마는 현저히 높은 라디칼 대 이온 비를 갖는다. 따라서 원격 플라즈마 소스(280)로부터 유입된 라디칼들의 잉여는 프로세싱 영역(239)내에서 생성된 플라즈마와 혼합된다. 나아가 프로세싱 영역(239)안의 압력이 바람직하게는 낮은 압력이기 때문에, 플라즈마 혼합물 내에서 라디칼들의 방향성에 나쁜 영향을 끼치지 않고 이온들의 방향성을 유지할 수 있다. 이 결과적인 프로세스는 주어진 작동 압력에서 라디칼들 대 이온들의 자연 분포의 변화를 가능하게 하고, 나아가 코너 라운딩, 측벽에서 바닥으로의 벽 성장 및 선택적 성장의 더 나은 제어를 위한 이온 대 라디칼 비의 조절이 가능하다.
[0027] 앞서 언급한 것은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 및 추가적인 실시예들은 이들의 기본범위를 벗어남 없이 안출 될 수 있으며, 이들의 범위는 이하의 청구범위에 의해 결정된다.

Claims (16)

  1. 기판 상에 막을 형성하기 위한 방법으로서,
    제 1 압력에서 프로세싱 챔버의 프로세싱 영역 내의 제 1 가스로부터 제 1 플라즈마를 생성하는 단계;
    제 2 압력에서 상기 프로세싱 영역의 외부의 제 2 가스로부터 제 2 플라즈마를 생성하는 단계;
    상기 제 1 플라즈마와 혼합하도록 상기 프로세싱 영역에 상기 제 2 플라즈마의 유동을 주입하는 단계; 및
    상기 프로세싱 영역 내의 기판 지지체 상에 위치된 상기 기판을 가열하는 단계를 포함하는,
    기판 상에 막을 형성하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 제 1 압력은 상기 제 2 압력보다 낮은,
    기판 상에 막을 형성하기 위한 방법.
  3. 제 2 항에 있어서,
    상기 제 1 압력은 약 500 mTorr 또는 그 미만인,
    기판 상에 막을 형성하기 위한 방법.
  4. 제 3 항에 있어서,
    상기 제 2 압력은 적어도 약 1 Torr인,
    기판 상에 막을 형성하기 위한 방법.
  5. 제 2 항에 있어서,
    상기 제 1 플라즈마는 유도적으로 생성되는,
    기판 상에 막을 형성하기 위한 방법.
  6. 제 5 항에 있어서,
    상기 제 2 플라즈마는 원격 플라즈마 소스에 의해 생성되는,
    기판 상에 막을 형성하기 위한 방법.
  7. 제 2 항에 있어서,
    상기 제 2 플라즈마는 하나의 오리피스를 통해 상기 프로세싱 영역으로 주입되는,
    기판 상에 막을 형성하기 위한 방법.
  8. 제 2 항에 있어서,
    상기 제 2 플라즈마는 가스 분배 플레이트를 통해 상기 프로세싱 영역으로 주입되는,
    기판 상에 막을 형성하기 위한 방법.
  9. 제 2 항에 있어서,
    상기 기판은 적어도 약 500℃로 가열되는,
    기판 상에 막을 형성하기 위한 방법.
  10. 제 2 항에 있어서,
    상기 프로세싱 영역 내에서 상기 기판을 회전시키는 단계를 더 포함하는,
    기판 상에 막을 형성하기 위한 방법.
  11. 기판상에 막을 형성하기 위한 반응기로서,
    프로세싱 영역을 형성하도록 위치된 리드, 측벽 및 기판 지지체를 구비한 프로세싱 챔버―상기 기판 지지체는 상기 기판 지지체 상에 배치된 기판을 적어도 약 500℃의 온도로 가열하도록 구성된 히터를 포함함―;
    상기 챔버에 결합되고 상기 프로세싱 영역에 프로세스 가스를 전달하도록 구성된 가스 소스;
    상기 프로세싱 챔버에 결합되고 상기 프로세싱 영역 내에서 상기 프로세스 가스의 제 1 플라즈마를 생성하도록 구성된 RF 소스;
    상기 챔버에 결합되고 상기 제 1 플라즈마와 혼합하기 위해 제 1 압력에서 생성된 제 2 플라즈마를 상기 프로세싱 영역으로 전달하도록 구성된 원격 플라즈마 소스; 및
    상기 프로세싱 챔버에 결합되고 제 2 압력에서 상기 프로세싱 영역을 유지하도록 구성된 진공 펌프―상기 제 1 압력은 상기 제 2 압력보다 큼―를 포함하는,
    기판 상에 막을 형성하기 위한 반응기.
  12. 제 11 항에 있어서,
    상기 제 2 플라즈마는 하나의 오리피스를 통해 상기 프로세싱 영역으로 전달되는,
    기판 상에 막을 형성하기 위한 반응기.
  13. 제 11 항에 있어서,
    상기 프로세싱 챔버 안에 위치된 샤워 헤드―상기 제 2 플라즈마는 상기 샤워 헤드를 통해 상기 프로세싱 영역에 전달됨―를 더 포함하는,
    기판 상에 막을 형성하기 위한 반응기.
  14. 제 11 항에 있어서,
    상기 기판 지지체에 결합되고 프로세싱 동안 상기 기판 지지체를 회전시키도록 구성된 액츄에이터를 더 포함하는,
    기판 상에 막을 형성하기 위한 반응기.
  15. 제 11 항에 있어서,
    상기 제 2 압력은 약 500 mTorr 또는 그 미만인,
    기판 상에 막을 형성하기 위한 반응기.
  16. 제 15 항에 있어서,
    상기 제 1 압력은 적어도 약 1 Torr인,
    기판 상에 막을 형성하기 위한 반응기.
KR1020137024516A 2011-02-15 2011-07-27 멀티존 플라즈마 생성을 위한 방법 및 장치 KR101893471B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161443066P 2011-02-15 2011-02-15
US61/443,066 2011-02-15
PCT/US2011/045626 WO2012112187A1 (en) 2011-02-15 2011-07-27 Method and apparatus for multizone plasma generation

Publications (2)

Publication Number Publication Date
KR20140009370A true KR20140009370A (ko) 2014-01-22
KR101893471B1 KR101893471B1 (ko) 2018-08-30

Family

ID=46637221

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137024516A KR101893471B1 (ko) 2011-02-15 2011-07-27 멀티존 플라즈마 생성을 위한 방법 및 장치

Country Status (5)

Country Link
US (1) US9809881B2 (ko)
KR (1) KR101893471B1 (ko)
CN (1) CN103348776B (ko)
TW (1) TWI527928B (ko)
WO (1) WO2012112187A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170113162A (ko) * 2016-03-25 2017-10-12 가부시키가이샤 히다치 하이테크 사이언스 하전 입자 빔 장치 및 플라즈마 점화 방법

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US8846509B2 (en) 2011-11-15 2014-09-30 Applied Materials, Inc. Remote radical hydride dopant incorporation for delta doping in silicon
US10497606B2 (en) * 2015-02-09 2019-12-03 Applied Materials, Inc. Dual-zone heater for plasma processing
JP6602887B2 (ja) 2015-03-19 2019-11-06 マットソン テクノロジー インコーポレイテッド プラズマ処理チャンバ内のエッチングプロセスのアジマス方向の均質性の制御
JP2019503077A (ja) * 2016-01-07 2019-01-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 遠隔プラズマ源及びdc電極を伴う原子層エッチングシステム
CN105753107B (zh) * 2016-05-17 2018-06-19 南京苏曼等离子科技有限公司 一种水下脉冲旋转滑动弧低温等离子污水处理装置
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US11908715B2 (en) 2018-07-05 2024-02-20 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
WO2020051063A2 (en) * 2018-09-05 2020-03-12 Tokyo Electron Limited Surface modification process
WO2020131793A1 (en) * 2018-12-20 2020-06-25 Mattson Technology, Inc. Silicon mandrel etch after native oxide punch-through
KR20210047808A (ko) * 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20220148735A (ko) * 2021-04-29 2022-11-07 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템 및 반응기 시스템을 세정하기 위한 방법
TW202311552A (zh) * 2021-09-02 2023-03-16 大陸商盛美半導體設備(上海)股份有限公司 薄膜沉積裝置、薄膜沉積方法及薄膜沉積設備

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060085281A (ko) * 2005-01-21 2006-07-26 세메스 주식회사 반도체 플라즈마 처리 장치 및 방법
KR100715075B1 (ko) * 2000-04-28 2007-05-07 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 발생기가 통합된 반도체 프로세싱 챔버
KR20080071524A (ko) * 2007-01-30 2008-08-04 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 전면 가스 세정과 함께 웨이퍼 후면 폴리머 제거를위한 프로세스
KR20080099180A (ko) * 2007-05-08 2008-11-12 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 후면 폴리머 제거와 웨이퍼 전면 포토레지스트제거를 위한 프로세스
KR20110010631A (ko) * 2008-05-09 2011-02-01 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전 장치 및 프로세스

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3353514B2 (ja) * 1994-12-09 2002-12-03 ソニー株式会社 プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
KR20010087598A (ko) * 2000-03-08 2001-09-21 황 철 주 Hdp-cvd 장치 및 이를 이용한 갭 필링 방법
KR20010096229A (ko) * 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
WO2002008487A1 (en) 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US20030013314A1 (en) * 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
KR100433006B1 (ko) * 2001-10-08 2004-05-28 주식회사 플라즈마트 다기능 플라즈마 발생장치
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US6727134B1 (en) * 2002-11-05 2004-04-27 Taiwan Semiconductor Manufacturing Company Method of forming a nitride gate dielectric layer for advanced CMOS devices
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US20040161536A1 (en) * 2003-02-14 2004-08-19 Applied Materials, Inc. Method for depositing a low-k material having a controlled thickness range
KR100797498B1 (ko) * 2003-06-27 2008-01-24 동경 엘렉트론 주식회사 플라즈마 발생 방법, 클리닝 방법 및 기판 처리 방법
KR100703070B1 (ko) * 2004-03-22 2007-04-05 두산디앤디 주식회사 유기el용 대면적 기판 쳐짐 방지 장치
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
KR100580584B1 (ko) * 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
US20060251795A1 (en) * 2005-05-05 2006-11-09 Boris Kobrin Controlled vapor deposition of biocompatible coatings for medical devices
US7163877B2 (en) * 2004-08-18 2007-01-16 Tokyo Electron Limited Method and system for modifying a gate dielectric stack containing a high-k layer using plasma processing
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7141514B2 (en) * 2005-02-02 2006-11-28 Applied Materials, Inc. Selective plasma re-oxidation process using pulsed RF source power
US7214628B2 (en) * 2005-02-02 2007-05-08 Applied Materials, Inc. Plasma gate oxidation process using pulsed RF source power
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US20080011426A1 (en) * 2006-01-30 2008-01-17 Applied Materials, Inc. Plasma reactor with inductively coupled source power applicator and a high temperature heated workpiece support
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
CN101473426A (zh) * 2006-06-22 2009-07-01 应用材料股份有限公司 用于从下向上填充间隙的介电材料沉积与回蚀方法
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
KR100819096B1 (ko) * 2006-11-21 2008-04-02 삼성전자주식회사 Peox공정을 진행하는 반도체 제조설비의 리모트 플라즈마를 이용한 세정방법
US20080179290A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Temperature-switched process for wafer backside polymer removal and front side photoresist strip
US7807579B2 (en) * 2007-04-19 2010-10-05 Applied Materials, Inc. Hydrogen ashing enhanced with water vapor and diluent gas
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US8142606B2 (en) * 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US20090084501A1 (en) 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
US20090095714A1 (en) * 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US7588957B2 (en) * 2007-10-17 2009-09-15 Applied Materials, Inc. CVD process gas flow, pumping and/or boosting
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US7732759B2 (en) * 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
US7699935B2 (en) * 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US7972968B2 (en) * 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
KR20110074912A (ko) * 2008-10-21 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 챔버 세정을 위한 플라즈마 소오스 및 챔버 세정 방법
US8236706B2 (en) * 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
US8435906B2 (en) * 2009-01-28 2013-05-07 Applied Materials, Inc. Methods for forming conformal oxide layers on semiconductor devices
WO2010102125A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8043981B2 (en) * 2009-04-21 2011-10-25 Applied Materials, Inc. Dual frequency low temperature oxidation of a semiconductor device
CN101921998A (zh) * 2009-06-16 2010-12-22 亚洲太阳科技有限公司 可提升薄膜太阳能电池均匀性的pecvd装置及方法
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US20110033638A1 (en) * 2009-08-10 2011-02-10 Applied Materials, Inc. Method and apparatus for deposition on large area substrates having reduced gas usage
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20120171852A1 (en) * 2009-09-04 2012-07-05 Applied Materials, Inc Remote hydrogen plasma source of silicon containing film deposition
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110189860A1 (en) * 2010-02-02 2011-08-04 Applied Materials, Inc. Methods for nitridation and oxidation
US8227344B2 (en) * 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9309594B2 (en) * 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US20110265884A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US8721798B2 (en) * 2010-04-30 2014-05-13 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US8343881B2 (en) * 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US20120000490A1 (en) * 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for enhanced processing chamber cleaning
TW201213601A (en) * 2010-09-16 2012-04-01 Ind Tech Res Inst Apparatus and control method for plasma enhanced atomic layer deposition
US20120103524A1 (en) * 2010-10-28 2012-05-03 Applied Materials, Inc. Plasma processing apparatus with reduced effects of process chamber asymmetry
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100715075B1 (ko) * 2000-04-28 2007-05-07 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 발생기가 통합된 반도체 프로세싱 챔버
KR20060085281A (ko) * 2005-01-21 2006-07-26 세메스 주식회사 반도체 플라즈마 처리 장치 및 방법
KR20080071524A (ko) * 2007-01-30 2008-08-04 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 전면 가스 세정과 함께 웨이퍼 후면 폴리머 제거를위한 프로세스
KR20080099180A (ko) * 2007-05-08 2008-11-12 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 후면 폴리머 제거와 웨이퍼 전면 포토레지스트제거를 위한 프로세스
KR20110010631A (ko) * 2008-05-09 2011-02-01 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전 장치 및 프로세스

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170113162A (ko) * 2016-03-25 2017-10-12 가부시키가이샤 히다치 하이테크 사이언스 하전 입자 빔 장치 및 플라즈마 점화 방법

Also Published As

Publication number Publication date
US9809881B2 (en) 2017-11-07
US20120208371A1 (en) 2012-08-16
KR101893471B1 (ko) 2018-08-30
CN103348776A (zh) 2013-10-09
TWI527928B (zh) 2016-04-01
WO2012112187A1 (en) 2012-08-23
TW201233843A (en) 2012-08-16
CN103348776B (zh) 2017-06-09

Similar Documents

Publication Publication Date Title
US9809881B2 (en) Method and apparatus for multizone plasma generation
KR102563427B1 (ko) 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들
KR102605484B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
US9355886B2 (en) Conformal film deposition for gapfill
US9508547B1 (en) Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
TWI756705B (zh) 添加氬至遠端電漿氧化
US10692717B2 (en) Minimization of carbon loss in ALD SiO2 deposition on hardmask films
US20210320004A1 (en) Nitride films with improved etch selectivity for 3d nand integration
US20150093886A1 (en) Plasma processing method and plasma processing apparatus
TWI442474B (zh) 用於在半導體裝置上形成共形氧化層的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right