KR20080071524A - 웨이퍼 전면 가스 세정과 함께 웨이퍼 후면 폴리머 제거를위한 프로세스 - Google Patents

웨이퍼 전면 가스 세정과 함께 웨이퍼 후면 폴리머 제거를위한 프로세스 Download PDF

Info

Publication number
KR20080071524A
KR20080071524A KR1020080009745A KR20080009745A KR20080071524A KR 20080071524 A KR20080071524 A KR 20080071524A KR 1020080009745 A KR1020080009745 A KR 1020080009745A KR 20080009745 A KR20080009745 A KR 20080009745A KR 20080071524 A KR20080071524 A KR 20080071524A
Authority
KR
South Korea
Prior art keywords
wafer
process zone
polymer
gas
plasma
Prior art date
Application number
KR1020080009745A
Other languages
English (en)
Other versions
KR101025231B1 (ko
Inventor
케네쓰 에스. 콜린즈
히로지 하나와
앤드류 뉴옌
아지트 바라크리쉬나
데이비드 파라가시빌리
제임스 피. 크루즈
제니퍼 와이. 선
발렌틴 엔. 토로로우
샤히드 라우프
칼티크 라마스와미
게하르드 엠. 슈나이더
아메드 유시프
마틴 제프리 살리나스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080071524A publication Critical patent/KR20080071524A/ko
Application granted granted Critical
Publication of KR101025231B1 publication Critical patent/KR101025231B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/0209Cleaning of wafer backside
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

워크피스의 후면으로부터 폴리머를 제거하기 위한 프로세서가 제공된다. 프로세스는 후면의 환상 환상부가 노출되도록 하면서 진공 챔버 내에서 후면으로 워크피스를 지지하는 단계를 포함한다. 워크피스의 에지에서의 유속은 워크피스 에지에서의 간극 내로 제한되며, 간극은 챔버 직경의 약 1%정도로 구성되고, 간극은 전면을 포함한 상부 프로세스 존과 후면을 포함한 하부 프로세스 존 사이의 경계를 정한다. 프로세스는 하부 프로세스 존을 배기하는 단계; 폴리머 식각 전구 가스로 외부 챔버 내에 플라즈마를 생성하는 단계; 및 플라즈마로부터의 부산물을 하부 프로세스 존으로 주입하는 단계를 포함한다. 프로세스는 상부 프로세스 존으로부터 폴리머 식각 종을 제거하기 위해서 세정 가스를 상부 프로세스 존으로 펌핑하는 단계를 더 포함한다.

Description

웨이퍼 전면 가스 세정과 함께 웨이퍼 후면 폴리머 제거를 위한 프로세스{PROCESS FOR WAFER BACKSIDE POLYMER REMOVAL WITH WAFER FRONT SIDE GAS PURGE}
본 발명은 워크피스의 후면으로부터 폴리머를 제거하기 위한 프로세스에 관한 것이다. 본 출원은 2007년 1월 30일에 출원된 미국 임시특허 출원 제60/898,645호, 및 2007년 3월 14일에 출원된 미국 실용특허 출원 제11/685,766호 모두의 우선권을 주장한다.
워크피스 또는 반도체 웨이퍼의 플라즈마 공정, 특히 유전체 식각 플라즈마 공정은 통상적으로 실리콘과 같은 다른 물질들에 비해서 이산화실리콘과 같은 유전체 물질의 식각 선택도를 강화시키는 탄소-함유 공정 가스들(예컨대 플루오로카본 또는 플루오르-하이드로카본 가스들)을 사용한다. 이러한 프로세스들은 마이크로일렉트로닉스 박막 구조들이 형성되는 웨이퍼의 전(front)(상(top))면을 처치하는데 사용된다. 웨이퍼의 반대(후)면은 통상적으로 패터닝되지 않는다. 한 가지 문제는 탄소-함유 공정 가스들이 플라즈마에서 폴리머 전구물질(polymer precursor)들을 형성하는 경향이 있으며, 이 폴리머 전구물질은 웨이퍼의 전면과 웨이퍼의 후 면의 노출된 영역 상에, 그리고 심지어 웨이퍼 후면의 노출되지 않은 영역 아래의 소정의 거리까지도 폴리머 잔류물을 남을 수 있다는 것이다. 이러한 잔류물은 다음 공정 단계들의 오염을 피하기 위해서 제거되어야 한다. 웨이퍼 전면 상에 증착된 폴리머 잔류물들은 플라즈마 이온 충격과 함께 적당한 화학 반응을 사용하여 쉽게 제거되는 경향이 있다. 그러나 웨이퍼 에지는 빗각이며, 웨이퍼 에지의 후면의 곡면(curved surface)도 노출되고 이에 따라 플라즈마 공정 중에 폴리머 증착이 가능하다. 웨이퍼 에지의 곡면의 후면은 플라즈마 공정 동안 이온 충격으로부터 가려지며, 따라서 제거하기에 더욱 어렵지만, 고온(예컨대 300℃ 이상)의 산소 플라즈마 내에서 제거될 수 있다. 위와 같이 제거하기 어려운(difficult-to-remove) 폴리머 막들은 (예를 들어) 완전한 폴리머 제거를 위한 산소 부화(oxygen-rich) 플라즈마를 사용하는 식각-후(post-etch) 폴리머 제거 단계를 필요로 한다.
많은 어플리케이션에서, 플라즈마 식각 공정은 웨이퍼 전면 상에 다수의 박막을 관통하는 개구(예컨대 트렌치 또는 콘택 홀)들을 형성하는데 사용된다. 이러한 박막 구조들은 (예를 들어) 초저 유전 상수를 갖는 특정 탄소-함유 유전체 막(울트라 로우-K 막)을 포함할 수 있다. 울트라 로우-K 막은 식각 공정 단계에 의해 형성된 각각의 트렌치 또는 콘택 개구의 측벽에서 단면이 노출된다. (식각-후 폴리머 제거 단계 동안) 웨이퍼를 가열하고 산소 부화 플라즈마에 노출시킴으로써 후면 폴리머 막을 제거하고자 하는 시도는 탄소를 제거하기 때문에 울트라 로우-K 막을 손상시킬 것이다. 60nm (또는 그 이하의) 피쳐 크기를 갖는 반도체 구조에서, 이러한 울트라-로우 K 막에 대한 손상은 노출된 표면 뒤로 약 3 nm의 깊이(예 컨대 개구의 측벽 뒤로 3nm)까지만 허용된다. 반대로, 웨이퍼 후면 에지 상에 증착된 폴리머 막은 약 700nm 두께이다. 웨이퍼 에지 또는 빗면의 후면으로부터 700nm의 폴리머를 제거하기에 충분한 밀도의 산소 부화 플라즈마에 충분한 시간동안 웨이퍼를 노출시키면서 허용할 수 있는 3nm 깊이 넘게 울트라 로우-K(ULK) 막을 손상시키는 것을 파하는 것은 불가능하지는 않지만 일반적으로 어렵다. 이러한 폴리머 제거 공정에서 요구되는 폴리머-ULK 식각 선택도(200:1 이상)는 일반적으로 신뢰할 수 있게 유지될 수 없다.
종래 플라즈마 반응 챔버에서, 웨이퍼 지지 페데스탈은 웨이퍼의 에지를 둘러싸는 환상 고리(annular collar)를 포함한다. 이러한 고리는 웨이퍼 에지를 보호하는 경향이 있지만, 웨이퍼 에지의 후면 상의 폴리머 증착을 막을 수 있을 정도로 충분히 웨이퍼 에지에 근접할 수는 없다. 이는 웨이퍼 에지와 고리 사이의 소정의 유한한 간극이 공차 누적(tolerance stackup)과 로봇 웨이퍼 배치(wafer placement)에 있어서의 변동을 수용하기 위해 요구되기 때문이다. 더구나, 웨이퍼 에지-고리 간극은 연속적으로 웨이퍼들이 챔버에서 식각될수록 증가하는 경향이 있으며, 이는 고리가 (통상적으로) 연속적인 웨이퍼들의 플라즈마 식각 공정 중에 점점 더 식각되는 공정-적합 물질(process-compatible material; 예컨대 수정, 실리콘 또는 실리콘 카바이드)로 형성되기 때문이다. 따라서 원치 않는 폴리머가 웨이퍼 후면 에지를 포함한 웨이퍼 상에 증착되는 것은 피할 수 없는 것으로 생각되었다.
전술한 문제들은 최초 식각 공장 중에 플라즈마 내의 풍부한 산소 혼합물을 사용함으로써 회피될 수 있다. 그러나 이러한 접근법은 웨이퍼 상의 박막 구조가 식각된 개구의 측벽에 노출된 울트라-로우 K 막을 포함하는 경우에는 유효하지 않다. 식각 플라즈마 내의 이러한 풍부한 산소 혼합물은 울트라-로우 K 막에 용인할 수 없는 손상을 일으킬 것이다.
박막 구조의 어떠한 로우-K 막 층들에 해를 입히거나 손상을 주지 않고 웨이퍼의 후면(즉, 웨이퍼 에지의 후면)으로부터 폴리머를 제거하는 방법이 필요하다.
워크피스의 후면으로부터 폴리머를 제거하기 위한 프로세스가 제공된다. 프로세스는 후면의 환상 환상부가 노출되도록 하면서 진공 챔버 내에서 후면으로 워크피스를 지지하는 단계를 포함한다. 워크피스의 에지에서의 유속은 워크피스 에지에서의 간극 내로 제한되며, 간극은 챔버 직경의 약 1%정도로 구성되고, 간극은 전면을 포함한 상부 프로세스 존과 후면을 포함한 하부 프로세스 존 사이의 경계를 정한다. 프로세스는 하부 프로세스 존을 배기하는 단계, 폴리머 식각 전구 가스로 외부 챔버 내에 플라즈마를 생성하는 단계; 및 플라즈마로부터의 부산물을 하부 프로세스 존으로 주입하는 단계를 포함한다. 프로세스는 상부 프로세스 존으로부터 폴리머 식각 종을 제거하기 위해서 세정 가스를 상부 프로세스 존으로 펌핑하는 단계를 더 포함한다.
일 실시예에서, 프로세스는 또한 웨이퍼 전면과 반응기 천장 사이의 상부 프로세스 존을 챔버 직경의 1%정도의 상부 프로세스 존 높이로 제한하는 단계를 포함한다. 관련 측면에서, 프로세스는 워크피스를 300℃ 정도의 온도로 가열하는 단계를 더 포함한다. 일 실시예에서, 간극과 상부 프로세스 존 높이는 모두 약 2mm이다. 특정 실시예들에서, 폴리머 식각 종은 산소 가스를 포함한다. 일 실시예에서, 세정 가스는 질소 또는 불활성가스를 포함한다. 다른 실시예에서, 세정 가스는 폴리머 식각 종의 제거제(scavenger)를 포함한다. 또 다른 실시예에서, 제거제는 수소 가스 또는 일산화탄소를 포함한다. 특정 실시예들에서, 제거제는 폴리머 식각 종과의 반응 생성물들이 폴리머 식각 종보다 울트라 로우-K 막과 덜 반응적인 종을 포함한다.
본 발명의 예시적인 실시예들은, 오직 웨이퍼 후면만을 외부 플라즈마 소스로부터의, 원자 또는 자유 산소와 같은, 폴리머 식각 라디칼 또는 플라즈마 부산물들에 노출시키면서 챔버 내의 웨이퍼를 가열함으로써, 울트라 로우-K 유전체 막과 같은, 임계(critical) 막들을 손상시키지 않고 웨이퍼의 후면 에지로부터 폴리머를 제거하는 것에 관한 것이다. 산소 라디칼들은 예를 들어 O2, H2O, N2O, CO2, 또는 CO와 같은 산소-함유 가스 또는 기체와 함께 공급되는 외부 플라즈마 소스에 의해 제공될 수 있다. 산소-함유 가스는 H2, N2 또는 Ar와 같은 다른 가스들과 화합되거나 희석될 수 있다. (CF4 또는 NF3와 같은) 다른 불소-함유 가스들은, (Si와 같은) 다른 물질들을 포함하고 단독으로 O 화학반응에서 효과적으로 식각되지 않는 폴리머 막들의 제거를 허용하기 위해서 추가될 수 있다. 웨이퍼 전면 상의 박막 구조의 임계 막들은 웨이퍼 전면과 접촉하도록 세정 가스들을 펌핑함으로써 폴리머 식각 종에 의한 손상으로부터 보호된다. 또한 웨이퍼 에지 및 챔버 측벽은 웨이퍼 후면을 포함한 하부 프로세스 존과 웨이퍼 전면을 포함한 상부 프로세스 존을 정하기 위한 매우 좁은 간극에 의해 분리된다. 간극의 좁음은, 웨이퍼 전면의 울트라 로우-K 막을 공격할 상부 프로세스 존으로의 폴리머 식각 종의 하부로부터의 이동을 막거나 최소화시키도록 구성된다. 외부 플라즈마 소스는 폴리머 식각 종이 웨이퍼 후면으로 전달되도록 하부 프로세스 존에 결합된다. 웨이퍼와 챔버 천장 사 이의 상부 프로세스 영역의 높이를 매우 좁은 간극으로 제한함으로써, 상부 프로세스 존에서의 폴리머 식각 종의 전달 속도 및 잔류 시간은 최소화된다. 웨이퍼 전면에 접촉하도록 펌핑된 세정 가스들은 비활성이거나 비반응성일 수 있다. 이러한 세정은 후면 폴리머 제거비에 비해 전면 임계 막들의 식각비를 감소시킨다.
일 실시예에서, 후면 폴리머 제거비에 비해 임계 막들의 식각비를 더 감소시키기 위해, 세정 가스들은 상부 프로세스 영역 내의 후면 폴리머 식각 종을 화학적으로 제거하는 반응성 제거 가스들이 추가되거나 또는 이들로 대체될 수 있다.
다른 실시예에서 후면 폴리머 식각비의 추가 증가는 상부 프로세스 존에 결합된 제 2 (상부)외부 플라즈마 소스를 사용함으로써 달성된다. 폴리머 식각제를 제거하는 종의 전구물질들인 가스는 상부 외부 플라즈마 소스 안으로 주입되어, 상부 프로세스 존에서 폴리머 식각 종의 양(부분 압력)을 감소시키는 상부 프로세스 존을 위한 제거 라디칼들을 생성한다. 일 실시예에서, 상부 프로세스 존 내의 압력은 충분히 낮은 압력으로 유지되어, 상부 외부 플라즈마 소스가 플라즈마를 효율적으로 생성할 수 있게 하며, 동시에 제거 종(scavenger species)의 충분히 높은 유속을 달성하여 웨이퍼 전면 박막들을 보호한다. 다른 실시예에서, 사용되지 않은 폴리머 식각 종은 이들이 상부 프로세스 존으로 이동하기 전에 하부 프로세스 존으로부터 제거된다. 일 실시예에서, 상부 및 하부 프로세스 존들은 웨이퍼 에지 근처의 측벽에 위치한 각각의 펌핑 배기 포트들을 통해서 웨이퍼 에지 근처에서 개별적으로 배기된다. 또한 상부 외부 플라즈마 소스로부터의 제거 종은 이들이 상부 프로세스 존으로 들어가기 전에 가열될 수 있다.
또한 상부 프로세스 존에서 폴리머 식각 종을 제거하는 상부 외부 플라즈마 소스에 의해 공급된 제거 종(예컨대 수소)은 웨이퍼 전면에서 포토레지스트를 제거하는 기능을 할 수도 있다. 이와 같은 경우에, 포토레지스트 제거는 개별 단계에서 수행될 수 있으며, 이 단계에서 폴리머 식각 종은 하부 프로세스 존으로 주입되지 않으며, 웨이퍼-천장 간극(상부 프로세스 존의 높이)은 증가된다. 또한 웨이퍼 전면에서 포토레지스트의 식각을 촉진하는 약제 가스(agent gas)(예컨대 질소)는 상부 외부 플라즈마 소스로 소량 공급될 수 있다. 대안적인 모드에서, 전면 박막 층들 중 어떠한 것도 폴리머 식각 종에 의한 손상에 영향 받지 않으며, 후면 식각 제거 단계와 전면 포토레지스트 제거 단계가 상부 및 하부 외부 플라즈마 소스들을 사용하여 동시에 수행될 수 있다. 이 경우에, 상부 프로세스 존의 높이는 웨이퍼 지지 페데스탈을 낮춤으로써 증가된다.
일 실시예에서, 폴리머 식각 종에 의한 임계 (울트라 로우-K) 막의 식각비에 대한 폴리머 식각비의 증가는, 하부 외부 플라즈마 소스를 웨이퍼 에지에 매우 근접하게 위치시키고, 웨이퍼를 회전시키면서 하부 외부 플라즈마 소스로부터의 플라즈마 생성물들의 농축된(concentrated) 스트림 또는 분출(jet)을 웨이퍼 후면 에지에 직접 향하게 함으로써 달성된다. 하부 외부 플라즈마 소스 압력을 감소시킴으로써, 농축된 스트림은 폴리머 식각제 이온들, 라디칼들 및 뉴트럴(neutral)들로 구성되며, 반면에 더 높은 압력에서 스트림은 식각제 라디칼들 및 뉴트럴들로 구성된다.
이제 도 1A를 참조하면, 반도체 웨이퍼의 후면에서 폴리머 잔류물을 제거하 기 위한 플라즈마 반응기는 측벽(102), 가스 분배 플레이트인 천장(104) 및 바닥(106)을 구비한 반응기 챔버(100)를 포함한다. 천장 또는 가스 분배 플레이트(104)는 내부 가스 매니폴드(108) 및 매니폴드(108)에서 챔버(100)의 내부로 개방된 다수의 가스 분사 오리피스(110)를 구비한다. 디스크형 테이블 형태인 웨이퍼 지지 페데스탈(112)은 페데스탈(112) 상에 지지될 워크피스의 직경보다 작은 직경을 가지며, 따라서 워크피스의 후면 환상 환상은 노출된다. 페데스탈은 리프트 작동기(116)에 의해 상승되고 하강되는 리프트 부재(114) 상에 지지된다. 반도체 웨이퍼(118)와 같은 워크피스는 페데스탈(112) 상에 휴지하는(resting) 후면의 중앙 부분으로 지지될 수 있다. 웨이퍼(118)의 전면(마이크로 전자 박막 구조들이 형성될 면)은 천장 가스 분배 플레이트(104)와 마주한다. 페데스탈(112)은 충분히 작아, 후면 폴리머 제거를 위해 웨이퍼 후면의 환상 환상부가 노출되도록 한다. 흔히, 웨이퍼(118)는 도 1A에서 도시된 것과 같이 둥근 또는 빗각의 에지를 갖는다. 이와 같이 빗각 특징은 웨이퍼 전면 상의 박막들을 플라즈마 (예컨대 식각) 공정 중에 웨이퍼 후면에 폴리머 증착을 피하는 것을 어렵게 만들 수 있다. 일 실시예에서, 방사형 암(radial arm; 113)들이 페데스탈(112) 상에 워크피스(118)를 위치시키기 위해 제공된다. 일 실시예에서, 도 1B에서 도시된 3개의 대칭적으로 이격된 얇은 방사형 암들(113)이 제공되고, 페데스탈(112)의 주변부에서 바깥쪽으로 연장된다. 도 1C에서 도시된 것과 같이, 방사형 암들(113)은 페데스탈(112)의 워크피스 지지 표면 아래에 위치하여, 후면 폴리머 제거를 위해 워크피스 후면의 전체 주변 환상부가 노출되도록 한다. 각각은 방사형 암(113)은 말단부에서 얇은 축방향 탭(tab; 113a)을 지원하며, 탭들(113a)은 워크피스가 페데스탈과 동축으로 배치되도록 위치시키는 기능을 한다.
챔버 측벽(102)은 제거 가능 라이너(liner) 또는 프로세스 키트(process kit; 120)를 포함할 수 있다. 그 후에, 측벽(102)이란 용어는 하나가 존재한다면 라이너(120)를 포함하는 것으로 사용될 수 있다. 웨이퍼 에지(118a0)와 측벽(102) 사이의 간극(122)은 예를 들어 약 0.2 내지 2 mm로 매우 작으며, 따라서 간극(122)을 통과하는 가스들의 이동을 막는다. 간극은 챔버 내의 다른 부분들의 유속 저항성보다 약 100배 더 큰 정도인 유속 저항성을 나타내도록 충분히 좁게 구성된다. 간극(122)은 챔버 직경의 약 1%정도일 수 있다. 이 방식에서, 웨이퍼(118)는 챔버(100)를 웨이퍼(118)의 전면 또는 상면에 의해 부분적으로 경계 지워진 상부 프로세스 존(130)과 웨이퍼(118)의 후면 또는 하면에 의해 부분적으로 경계 지워진 하부 프로세스 존(132)로 구분한다. 하부 외부 플라즈마 소스(134)는 가스 공급원(136)으로부터 폴리머 식각 전구 가스를 받아서 폴리머 식각 라디칼들(예컨대 산소 라디칼들 또는 산소 원자)을 챔버 바닥(106)의 포트(138)를 통해 하부 프로세스 존(132) 안으로 공급한다.
소정의 폴리머 식각제 (예컨대 산소) 라디칼들은 간극(122)을 통해 하부 프로세스 존(132)로부터 상부 프로세스 존(130) 안으로 이동할 수 있으며, 울트라 로우-K 박막과 같은 웨이퍼 전면의 임계 층들에 손상 위험을 야기할 수 있다. 이를 방지하기 위해서, 비반응성 세정 가스, 즉 웨이퍼 전면 상의 박막 물질들과 반응하지 않는 가스(예컨대 질소 가스 또는 아르곤 가스)는, 상부 프로세스 존(130)을 씻 어 내리고 식각제 종들이 없게 유지하기 위해서, 가스 공급원(140)으로부터 천장 가스 분배 플레이트(104)로 공급된다. 상부 프로세스 존(130)의 완벽하고 빠른 세정을 용이하게 하기 위해서, 상부 프로세스 존(130)은 예를 들어 약 0.2 내지 2 mm인 작은 웨이퍼-천장 간극(144)에 상응하는 매우 작은 높이로 제한된다. 간극(144)은 상부 존(130)의 단면을 100보다 큰 종횡비로 제한하기에 충분히 작을 수 있다. 상부 프로세스 존 높이(간극 (144))는 충분히 작아서 상부 프로세스 존(130) 내의 가스의 잔류 시간이 하부 프로세스 존(132)에서의 가스 잔류 시간의 약 1/10 내지 1/100보다 작다. 또한 상부 프로세스 존 높이(간극 (144))는 충분히 작아서 간극(144)을 통과하는 유속 저항성은 하부 프로세스 존(132)을 통과하는 유속 저항성의 약 100배 정도이다. 상부 프로세스 존 높이의 이러한 제한은 리프트 작동기(116)를 이용한 웨이퍼 지지 페데스탈(112)을 상승시킴으로써 달성될 수 있다.
일 실시예에서, 챔버(100) 내의 압력은 진공 펌프(146)에 의해서 조절되며, 진공 펌프(146)는 하부 외부 플라즈마 소스(134)로부터 발생한 플라즈마 부산물들을 빼내기에 충분히 낮은 압력으로 하부 프로세스 존(132)을 유지하고, 외부 플라즈마 소스(134)가 효과적으로 플라즈마를 생성하는 것을 가능하기에 충분히 낮은 압력으로 외부 플라즈마 소스(134)를 유지한다. 대안적으로, 상부 및 하부 프로세스 존들(130, 132)은 개별 펌프들(210, 216)에 의해 웨이퍼 에지 근처의 개별 슬릿 개구(slit opening)들을 통해 개별적으로 배기될 수 있다. 이 경우에, 진공 펌프(146)는 필수적이지 않을 수 있다.
일 실시예에서, 폴리머 제거 공정은 페데스탈(112) 내부의 전자식 가열 엘리먼트(150) 또는 복사 램프(미도시) 중 하나에 의해 300℃ 정도로 웨이퍼(118)를 가열함으로써 촉진된다. 전자식 가열 전원(152)은 리프트 부재(114) 내의 도선들을 통해 가열 엘리먼트(150)에 연결된다. 웨이퍼 온도를 약 300℃로 상승시킴으로써, 후면 폴리머 제거비도 현저하게 증가된다.
일 실시예에서, 웨이퍼 전면 상의 막들(예컨대 울트라 로우-K 막)의 식각은, 천장 가스 분배 플레이트(104)를 통한 상부 프로세스 존(130)으로의 비반응성 세정 가스(예컨대 질소 또는 아르곤)의 매우 높은 유속을 유지함으로써 최소화 되거나 또는 제거된다. 이는 폴리머 식각 선택도, 즉 울트라 로우-K 막 식각비에 대한 폴리머 식각비의 비율을 향상시킨다. 세정 가스 유속은 목적하는 식각 선택도를 달성하기에 충분히 높을 수 있으며, 상부 프로세스 존(130)의 압력을 매우 높은 수준으로 상승시킨다. 하부 프로세스 존(132)의 압력은 외부 플라즈마 소스(134)의 효과적인 동작을 보장하기 위해서 충분히 낮은 수준(예컨대 수 Torr 이하)으로 유지된다. 외부 플라즈마 소스(134)가 플라즈마를 생성하기 위해서, 외부 플라즈마 소스(134)의 챔버 내부 압력은 통상적으로 수 Torr을 초과해서는 안 되며, 외부 플라즈마 소스(134)가 하부 프로세스 존(132)에 직접 연결되어 있기 때문에 하부 프로세스 존(132) 내의 압력도 상응하게 낮은 수준에서 유지되어야만 한다. 이러한 요구조건은 가스 분배 플레이트(104)를 통한 상부 프로세스 존(130) 안으로의 세정 가스의 높은 유속에 관계없이 메인 챔버 진공 펌프(146) (또는 진공 펌프(216))에 의해 만족된다. 이는 세정 가스 유속과 상부 프로세스 존 압력이 웨이 퍼 전면 상의 임의의 울트라 로우-K 막의 식각을 제거하거나 최소화하는데 필요한 정도로 큰 것을 허용한다.
일 실시예에서, 웨이퍼 후면에서 폴리머 제거 속도를 추가로 증가시키기 위해서, 폴리머 식각 전구 가스(예컨대 산소)의 해리를 촉진시키는 해리제(dissociation agent) 가스(예컨대 질소)는 가스 공급원(156)으로부터 외부 플라즈마 소스(134)에 낮은 유속으로 공급될 수 있다.
일 실시예에서, 하부 프로세스 존(130) 내의 폴리머 식각 종(예컨대 산소)의 양을 추가로 감소시키기 위해서, 가스 공급원(158)은 식각제 제거 가스(예컨대 수소 또는 일산화탄소)를 천장 가스 분배 플레이트(104)에 공급한다. 이는 가스 공급원(140)으로부터의 비반응성 세정 가스 대신일 수도 있으며, 이에 추가될 수도 있다. 상부 프로세스 존(130) 안으로 이동되었던 일부 폴리머 식각제(예컨대 산소) 원소 또는 분자는 제거 가스(예컨대 H2 또는 CO)와 화합함으로써 화학적으로 소비된다. 선택적으로 이러한 제거 반응은 전기적 가열기(159)를 구비한 가스 분배 판(104)에 공급된 제거 가스들을 가열함으로써 촉진될 수 있다. 만약 폴리머 식각제 전구 가스가 산소라면, 그 땐 제거 가스는 일산화탄소 또는 수소일 수 있다. 일산화탄소는 일산화탄소가 상부 프로세스 존(130)에서 제거하는 산소 가스보다 탄소-함유 울트라 로우-K 막과 덜 반응적이다. 수소 가스는 제거 가스로써 좋은 선택일 수 있으며, 이는 탄소-함유 울트라 로우-K 막에서 탄소를 감소시키지 않을 것이며, 따라서 수소 가스가 제거하는 폴리머 식각 종(산소)보다 ULK 막과 덜 반응적이어야 한다는 요구조건을 수행하기 때문이다. 제거 가스는 제거제와 폴리 머 식각제(예컨대 산소) 사이에서의 화학적 반응 생성물이 높은 속도로 울트라 로우-K 막과 반응하지 않도록 선택된다. 수소 제거제와 폴리머 식각제으로써의 산소의 경우에 생성물은 물이며, 일산화탄소 제거제의 경우에 생성물은 이산화탄소이여서, 울트라 로우-K 막에 대해 안전한 제거 반응 생성물의 요구조건을 만족시킨다.
선택적인 모드에서, 도 1A의 반응기는 웨이퍼 전면에서 포토레지스트를 제거하는데 사용된다. 이 모드에서, 웨이퍼 페데스탈(112)은 도 1A의 점선 위치까지 하강되어서 약 0.5 내지 5 cm인 웨이퍼-천장 간극을 갖는 상부 프로세스 존(130)을 증대시킬 수 있다. 만약 웨이퍼 전면 상의 박막 물질들 중 어떠한 것도 ULK 막 또는 산소에 의한 손상에 영향 받기 쉬운 다른 물질을 포함하지 않는다면, 하부 프로세스 존(132)으로부터의 산소 라디칼들은 가스 공급원(140)으로부터의 세정 가스 흐름 및/또는 가스 공급원(158)으로부터의 제거 가스 흐름을 중지시킴으로써 상부 프로세스 존(130) 안으로의 이동하는 것이 허용된다. 또한 이러한 이동은 측벽-웨이퍼 간극(122)이 하강된 (점선) 웨이퍼 위치에서 더 커진다면 강화될 수 있다. 이러한 선택적인 모드에서, 후면 폴리머 및 전면 포토레지스트는 동시에 제거된다.
도 2 는 도 1의 반응기의 변형을 도시한다. 도 2의 반응기는 후면 폴리머 제거 동안 전면 상의 울트라 로우-K 막들에 매우 더 큰 보호를 제고하는데 특히 유용할 수 있다. 도 2의 반응기에서, 제 2 외부 플라즈마 소스(200)가 제공된다. 상부 프로세스 존(130) 내의 폴리머 식각 종(예컨대 산소)의 양은, 제 2 (상부) 외부 플라즈마 소스(200)가 제거 종(예컨대 수소 또는 질소)의 플라즈마 부산물들(예컨대 라디칼들)을 천장 가스 분배 플레이트(104)로 제공하기 때문에 더욱 효율적으 로 감소된다. 제거 종 라디칼들(수소 또는 질소)은 상부 프로세스 존(130) 안으로 이동할 수 있는 일부 폴리머 식각 종(예컨대 산소)을 화학적으로 제거하거나 또는 화합한다. 이러한 라디칼들은 (도 1의 반응기의 분자 가스 제거제보다) 식각 종과 더 빠른 속도로 반응하여, 상부 프로세스 존(130)에서 삭각 종(예컨대 산소)의 더 빠른 제거 속도를 제공하는 경향이 있다. 이는 웨이퍼 전면 상의 박막 구조들(예컨대 울트라 로우-K 막)에 대한 공격에 더 좋은 보호를 제공한다. 가스 공급원(202)은 제거제 선구 물질의 분자 가스 형태(예컨대 수소 또는 질소 가스)를 최상부(top) 외부 플라즈마 소스(200)의 챔버에 공급한다. 소스(200)에 의해 생성된 플라즈마의 부산물들(예컨대 수소 라디칼들 또는 질소 라디칼들)은 폴리머 식각 종(예컨대 산소)의 제거제이며, 상부 프로세스 존(130)에서 산소를 감소시키거나 제거시켜 웨이퍼 전면 상의 박막 구조들을 보호하기 위해서 가스 분배 플레이트(104)에 전달된다.
도 2에 도시된 것과 같이, 최상부 외부 플라즈마 소스(200)는, 임피던스 매칭 엘리먼트(209)를 통해 RF 플라즈마 소스 전력 생성기(208)에 의해 구동되는 RF 코일 안테나(206)로 둘러싸인 유전체(예컨대 수정) 튜브 챔버(204)로 구성될 수 있다. 수정 물질은 최상부 외부 플라즈마 소스(200)의 수소 또는 질소 화학반응에 적합하다. 최상부 외부 플라즈마 소스(200)는 중앙 포트(212)를 통해 천장 가스 분배 플레이트(104)에 공급된 제거 종의 라디칼들을 생성한다. 가스 분배 플레이트 전체에 걸쳐 제거 종의 균일한 분배를 제공하기 위해서, 배플(214)이 가스 매니폴드(108)의 중앙에 제공되어, 중앙 포트(212)에서 가스 분배 플레이트(104)의 중 앙 근처의 가스 분사 오리피스들(110)로의 직접 가스 흐름을 차단한다. 도넛형(toroidal) 플라즈마 챔버는 최상부 및 하부 외부 플라즈마 소스들(202, 134) 중 하나(또는 둘 다)에 사용될 수 있다. 이러한 도넛형 챔버는 도전성 물질로 이루어진 요입 도관(reentrant conduit)을 포함한다. 최상부 외부 플라즈마 소스(200)에서 사용되는 수소 화학반응을 수용하기 위해서, 이러한 도넛형 플라즈마 챔버는 도전성 챔버 또는 도관을 보호하는 절연 라이너(insulating liner)를 포함할 수 있다.
최상부 외부 플라즈마 소스(200) 내의 챔버 압력은 외부 챔버(205) 내의 효과적인 플라즈마 생성을 보장하기에 충분히 낮아야만 한다(예컨대 수 Torr을 초과해서는 안 됨). 최상부 외부 플라즈마 소스(200)가 상부 프로세스 존(130)에 (가스 분배 플레이트(104)를 통해) 결합되므로, 상부 프로세스 존 압력은 외부 소스(200) 내의 플라즈마를 소멸시키기 않고는 너무 높을 수 없다. 이러한 제한을 만족시키는 것은, 웨이퍼 전면을 보호하는데 필수적인 상부 프로세스 존(130)으로의 제거 종의 충분히 높은 유속을 막을 수 있다. 일 실시예에서, 상부 존 진공 펌프(210)는 웨이퍼 에지 근처에 (그러나 수 mm 이하만큼 약간 위에) 위치하고 측벽(102)(및 존재한다면 라이너(120))을 관통하여 지나가는 상부 존 진공 슬릿 통로(217)를 통해 상부 프로세스 존(130)에 직접 연결된다. 상부 존 진공 펌프(210)는 매우 좁은 웨이퍼-측벽 간극(122)을 통해 충분한 유속을 용이하게 하거나 이를 보장한다. 이러한 특징으로 인해, 메인 진공 펌프(146)는 도 2의 반응기에서 제거될 수 있으며, 이하에서 논의될 것이다. 일 실시예에서, 상부 존 펌프(210)의 펌 핑 속도는 상부 프로세스 존(130) 내의 압력이 예를 들어 수 Torr 이하로 유지하기 위해 충분한 수준으로 유지된다. 이는 최상부 외부 플라즈마 소스(200)로부터의 라디칼들의 높은 유속을 허용하며, 상부 프로세스 존(130)에서 제거제-식각제 반응 부산물들을 빠르게 제거하는 것을 보장한다. 본 실시예는 최상부 외부 플라즈마 소스(200) 내의 효과적인 플라즈마 생성이 용이하도록 최상부 외부 플라즈마 소스(200) 내부에 낮은 챔버 압력을 제공한다. 또한 본 실시예는 웨이퍼 후면에서 폴리머 식각 종(예컨대 산소)을 희석시킬 하부 프로세스 존(132) 안으로의 제거제 또는 세정 종의 이동을 감소시킨다.
일 실시예에서, 하부 프로세스 존(1320에서 웨이퍼-측벽 간극(122)을 통한 상부 프로세스 존(130)으로의 폴리머 식각 종(예컨대 산소)의 이동을 감소시키기 위해서, 하부 존 진공 펌프(216)는 웨이퍼 에지 근처 (그러나 수 mm 이하만큼 약간 아래)에 위치한 하부 존 슬릿 통로(218)를 통해 하부 프로세스 존(132)에 연결된다. 상부 및 하부 슬릿 통로들(217, 218)은 챔버의 대칭의 회전축을 따라 서로 작은 (또는 수) mm 내에 위친한다. 도 2에 도시된 반응기에서, 양 슬릿 통로들(217, 218)은 높이에 있어서 (각각) 웨이퍼의 위와 아래에 약 1mm 정도에 위치하며, 이 거리는 예를 들어 약 0.5 내지 2 mm 정도일 수 있다. 슬릿 통로(217, 218)는 약 1 내지 2 mm 만큼 서로 축방향으로 변위될 수 있다. 일반적으로 이 거리는 웨이퍼-천장 간극(상부 프로세스 존(130)의 높이)보다 작다. 상부 및 하부 존 진공 펌프들(210, 216)은 각각의 슬릿 통로들(217, 218)을 통해 (상부 존(130)으로부터 슬릿 통로(217)를 통해) 식각제-제거제 반응 부산물들, 및 (하부 존(132)으로부터 슬릿 통로(218)를 통해) 식각제-폴리머 반응 부산물들을 동시에 제거하기 위해 동작한다.
슬릿 개구들(217, 218)은 좁은(예컨대 0.2 내지 2mm) 축 높이를 가지며, 측벽(102)의 전체 원주에 적어도 근처 둘레로 연장한다. 슬릿 개구들(217, 218) 각각은 각각의 펌프들(210, 216)에 연결되었다는 점을 제외하고는 완전히 둘러싸인다.
일 실시예에서, 최적 성능을 제공하는 상부 및 하부 진공 펌프들(210, 216)로 인해, 메인 진공 펌프(146)는 도 2의 반응기에서 제거된다. 상부 및 하부 진공 펌프들(210, 216)을 제공하면, 웨이퍼 후면에 대한 식각제 종의 플럭스(flux)가 증가된다.
또한 상부 및 하부 진공 펌프들(210, 216) 및 이들의 슬릿 통로들(217, 218)은 도 1A에는 상부 외부 플라즈마 소스(200)가 없기 때문에 도 1A의 반응기에는 필요하지 않을 수도 있을 지라도, 이들도 도 1A의 반응기에 포함될 수 있다. 상부 외부 플라즈마 소스(200)가 도 1A의 반응기에 존재하지 않지만, 세정 가스들은 웨이퍼 전면을 보호하기 위해서 매우 높은 압력으로 가스 분배 플레이트(104)를 통해 펌핑될 수 있다. 따라서 국부 펌프들(210, 216) 및 이들의 슬릿 통로들(217, 218)이 도 1A의 반응기에 필수적으로 요구되지는 않는다.
다양한 종류의 플라즈마 소스는 마이크로웨이브, 종래 ICP 또는 도넛형과 같은 상부 및 하부 외부 플라즈마 소스들(134, 200)에 대해 사용될 수 있다. 상부 및 하부 소스들(134, 200)에서 사용될 프로세스 화학 반응들은 물질들의 선택을 제 한한다. 도넛형 반응기들은 통상적으로 금속형 챔버들 또는 도관들, 예컨대 양극 산화된 알루미늄을 구비하며, 이는 상부 플라즈마 소스(200)의 수소 화학반응에 적합하지 않다. 그러나 도넛형 플라즈마 소스들은 수정 라이너들 또는 수정 도넛형 모양의 (둥근 또는 사각형) 진공 베셀들(vessels)과 함께 이용 가능하다. 비금속, 코딩되지 않은 금속, 및 비-수정 물질이 플라즈마 화학반응에 적합할 것이 요구된다면, 외부 플라즈마 소스의 선택은, 예를 들어 RF-구동 코일로 둘러싸인 수정, 알루미나, 사파이어 또는 이트리아 튜브와 같은, 종래 유도성 결합 플라즈마 소스들로 더욱 제한될 수 있다. 또한 소스들은 플라즈마 이온 충격(ion bombardment) 및 그 후의 부식(erosion) 또는 입자(particle)/오염 문제(issue)들을 감소시키기 위해서 정전기적으로 보호될 수도 있다. 일 예시에서, 하부 외부 플라즈마 소스(134)는 도넛형 플라즈마 소스일 수 있으며, 공정 가스 공급원(136)에 의해 공급되는 도넛형 챔버(220), 도넛형 챔버(220)에 연결된 코일 RF 전력 어플리케이터(coiled RF power applicator; 222) 및 도넛형 챔버(220)에서 포트(138)로의 통로(224)를 포함한다. 코일(222)은 임피던스 매칭을 통해 RF 생성기에 의해 구동될 수 있거나, 스위칭형 전력 공급원에 의해 간단히 구동될 수 있다(논의할 필요가 있음). 도넛형 챔버(220)는 통상적으로 양극 산화된 알루미늄과 같은 유전체 외부 막과 함께 금속으로 형성되며, 이는 하부 외부 플라즈마 소스9134)에서 사용되는 산소 및 질소 가스들과 양립가능하다. 상부 외부 플라즈마 소스(200)가 수소 가스와 함께 공급되기 때문에, 양극 산화된 알루미늄은 상부 소스9200)에 대해 실용적인 물질이 아니며, 따라서 이의 챔버(205)는 일 예시에서 수소와 양립 가능한 다른 물질(예컨대 수정)로 형성된다.
선택적인 모드에서, 도 2의 반응기는 웨이퍼 전면에서 포토레지스트를 제거하는데 사용된다. 이러한 어플리케이션에서, 가스 공급원(136)으로부터의 산소 (폴리머 식각제) 흐름은 중지된다(또는 하부 소스(134)의 플라즈마는 소명된다). 바람직하게, 이 단계는 포토레지스트 제거 속도를 증가시키기 위해서 상기 논의된 좁은 형태(regime)(0.2 내지 2 mm)인 상부 프로세스 존(130)의 높이와 함께 수행된다. 대안적으로 포토레지스트 제거의 균일성은 상부 프로세스 존 높이를 증가시킴으로써 증가될 수 있으며, 이러한 경우에 웨이퍼 페데스탈(112)은 도 2의 점선 위치로 낮춰져서 약 2.5 내지 5 cm의 웨이퍼-천장 간극을 갖도록 상부 프로세스 존(130)을 확대시킨다. 상부 외부 플라즈마 소스(200)로부터의 수소 라디칼들 또는 관련된 플라즈마 수산물들은 상부 프로세스 존(130)을 채우고, 반응 식각 공정에서 웨이퍼 전면으로부터 포토레지스트를 제거한다. 이 반응은, 다른 가스 공급원(240)으로부터 더 낮은 유속으로 산소-함유 가스(H2O 또는 N2O)와 함께, 수소 가스를 상부 외부 플라즈마 소스(200)로 공급함으로써 촉진된다. 산소-함유 가스의 상부 플라즈마 소스(200) 안으로의 유속은 수소 유속의 5%보다 적을 수 있다. 이 포토레지스트 제거 단계는 후면 폴리머 제거 단계 전에 또는 후에 수행될 수 있다.
선택적인 전면 포토레지스트 제거 모드의 대안적인 실시예에서, 용량성 결합 플라즈마는, 천장 가스 분배 플레이트(104)와 (도 2의 낮춰진 점선 위치인)웨이퍼 지지 페데스탈(112)을 가로지르는 임피던스 매칭(252)을 통해 결합된 RF 전력 생성 기(250)에 의해 상부 프로세스 존(130)에서 수소로부터 생성된다. 이 실시예에서, 수소 이온들은 상부 프로세스 존(130)에서 생성되어, 웨이퍼 전면 상의 포토레지스트의 반응성 이온 식각을 수행한다.
플라즈마 시각 시스템에서 도 2의 반응기의 최초 배치는, 도 2의 반응기를 구비한 위와 같은 시스템에 통상적으로 존재하는 2개의 단일 웨이퍼 로드 락(load lock)들 중 하나를 대체하는 것을 필요로 할 수 있다. 식각 시스템은 통상적으로 4개의 플라즈마 식각 반응기들, 2개의 단일 웨이퍼 로드 락들 및 공장 인터페이스를 포함한다. 더 높은 융통성을 위해서, 도 2의 반응기는, 플라즈마 식각 시스템에서 대체시키는 단일 웨이퍼 로드 락의 기능들을 수행하도록 구성될 수 있다. 이러한 목적을 위해서, 웨이퍼 유입(ingress)/유출(egress) 슬릿 밸브들(270, 272)은 측벽(102) (및 라이너(120))를 통과하여 반응기의 대향 면들에 제공된다. 슬릿 밸브들(270, 272)의 쌍은 도 2의 반응기가 단일 웨이퍼 로드 락으로써 기능하는 것을 가능하게 한다.
도 3을 참조하면, 일 실시예에서 플라즈마 라디칼들, 뉴트럴들 및 식각제 종의 이온들의 국부적인 스트림 또는 분출(jet)은 매우 더 높은 속도의 후면 폴리머 제거를 제공하기 위해서 사용된다. 플라즈마 종의 스트림 또는 분출은 웨이퍼를 회전하면서 웨이퍼 후면 에지의 작은 목표 영역(targer area) 또는 윈도우 상으로 향하게 된다. 이러한 목적을 위해, 국부 외부 플라즈마 소스는 웨이퍼 에지 근처에 배치될 수 있으며, 짧은 도관(302)은 플라즈마 이온들, 라디칼들 및 뉴트럴들의 국부적인 스트림과 같은 국부 외부 플라즈마 소스(300)의 내부로부터의 플라즈마 이온들을 웨이퍼 후면 에지의 작은 목표 구역(target region)으로 향하게 한다. 일 실시예에서, 도관(302)은 충분히 짧으며, 이의 출력 단부는 웨이퍼 후면에 충분히 가까워서 소스(300)로부터의 이온들이 웨이퍼 후면에 도달하는 것을 가능하게 하게 한다. 예를 들면, 짧은 도관의 출력 단부와 페데스탈(112)의 웨이퍼 지지면 사이의 통과 거리(transit distance)는 페데스탈 또는 웨이퍼 직경의 5% 이하일 수 있다. 가스 공급원(136)은 폴리머 식각 전구 가스를 국부 외부 플라즈마 소스(300)로 공급한다. 일 실시예에서, 웨이퍼의 전체 후면 환상부 또는 에지를 국부적인 플라즈마 스트림에 노출시키기 위해서, 웨이퍼 페데스탈(112)은 페데스탈(112)의 지지 부재 또는 다리(114)에 연결된 회전 작동기(304)에 의해 회전된다. 낮은 챔버 압력에서 국부 외부 플라즈마 소스(300)를 동작시킴으로써, 플라즈마 이온들 및 전자들의 풍부한 소스가 되며, 도관(302)으로부터의 농축된 스트림은 이온/라디칼 혼합에서 높은 비율의 이온들을 포함한다. 외부 플라즈마 소스(300)를 웨이퍼(118)에 근접하게 배치하고 도관(302)을 짧게 유지함으로써, 재결합을 통한 이온 손실은 최소화되고, 도관(302)으로부터 발산하는 입자 스트림은 이온들 내에 부유하게 잔존한다.
일 실시예에서, 후면 폴리머 식각비는 도 3의 반응기 내의 이온 분출 스트림에 의해 증가된다. 즉, 웨이퍼 후면 에지 표면에서 이온 에너지는 국부 외부 플라즈마 소스(300)와 웨이퍼 지지 페데스탈 사이에 RF 바이어스를 인가함으로써 증가될 수 있다. 이러한 목적을 위해서, RF 바이어스 전력 생성기(310)는 웨이퍼 지지 페데스탈과 국부 외부 플라즈마 소스(300) 양단에 임피던스 매칭 엘리먼트(312) 를 통해 연결된다. 가스 공급원(156)은, 국부 외부 플라즈마 소스(300)의 플라즈마에서 식각제 종(예컨대 산소)의 해리를 촉진하는 해리제 가스(예컨대 질소)를 국부 외부 플라즈마 소스(300)로 공급할 수 있다.
만약 라디칼 스트림이 이온 스트림보다 더 희망된다면, 국부 외부 플라즈마 소스 내의 챔버 압력은 증가될 수 있다. 국부 외부 플라즈마 소스(300)의 챔버 압력을 증가시키면, 도관(302)에서 나온 입자들의 스트림에 있어서 이온들의 비율을 감소시키고 라디칼의 비율을 증가시킨다. 게다가 만약 순순한 라디칼 스트림이 요구된다면, 외부 플라즈마 소스(300)를 웨이퍼 근처에 위치시킬 필요가 없다. 대신에 (예를 들어) 그것은 (도 3의 점선으로 지시된 것과 같이) 메인 챔버(100)의 바닥 근처에 위치될 수 있으며, 도관(302)은 (도 3에서 점선으로 표시된 것과 같이) 상대적으로 길 수 있다. 플라즈마 소스(300)로부터의 농축된 분출 스트림은 (예컨대 600℃에 이를 정도로) 매우 뜨거울 수 있으며, 이러한 열은 식각 종과 후면 폴리머 사이의 반응을 촉진시킬 수 있다. 바람직하게 전체 웨이퍼는 후면을 국부 플라즈마 소스(300) 및 도관(302)으로부터의 농축된 라디칼 또는 이온 스트림에 노출시키기 전에 약 300℃으로 처음에 가열된다.
도 4를 참조하면, 특정 예방 조치들이 플라즈마 소스(300)/도관(302)로부터 방출된 이온 스트림으로부터의 금속 오염물질을 최소화하기 위해서 취해질 수 있다. 구체적으로, 금속 표면들은 천장 가스 분배 플레이트(104)의 저면을 커버하는 유전체(예컨대 수정) 라이너(320), 및 측벽(102)의 내면을 커버하는 유전체 라이너(120)를 이용하여 보호될 수 있다. 도 4의 확대도는 각각의 슬릿 개구(217, 218)가 어떻게 더 큰 통로 안으로 개방될 수 있지만, 각각의 펌프(210, 216)와의 연결을 제외하고 챔버 벽 안에서 완벽하게 둘러싸이는지를 도시한다.
도 5는 도 1의 반응기에서 수행될 수 있는 예시적인 방법을 도시한다. 제 1 단계(블록 402)는 웨이퍼를 300℃ 정도로 가열하는 것을 시작하면서 웨이퍼 후면의 환상부를 노출시키기 위해서 웨이퍼를 지지하는 단계이다. 최종 웨이퍼 온도(예컨대 300℃)에 도달하기 전에 시작할 수 있는 다음 단계(블록 404)는, 웨이퍼 전면 위의 상부 프로세스 존(130) 및 웨이퍼 후면 아래의 하부 프로세스 존(132)을 웨이퍼-측벽 간극을 2mm 미만으로 유지함으로써 이뤄지는 두 존 사이에서의 가스의 최소 이동과 함께 정하는 단계이다. 이 간극은 챔버의 다른 부분보다 100배 정도 초과하는 가스 흐름 저항성을 생성하기에 충분히 작아야만 한다. 다음 단계(블록 406)는, 높은 가스 흐름 저항성이 형성되는 값에서 웨이퍼-천장 간극(상부 프로세스 존(130)의 높이)을 예를 들어 2mm 미만으로 유지함으로써, 웨이퍼 전면에서의 식각 종의 축적을 방지하거나, 상부 존(130)의 빠른 배기를 용이하게 하는 단계이다. 이 간극은 100 정도보다 더 큰 단면 종횡비로 상부 프로세스 존(130)을 제한하기에 충분히 작아야만 한다. 다른 단계(블록 408)는 폴리머 식각제 전구 가스(예컨대 산소)와 함께 외부 플라즈마 챔버(134) 내에 플라즈마를 생성하고, 웨이퍼 후면에서 폴리머를 식각하기 위해서 플라즈마로부터의 부산물들(예컨대 라디칼들, 자유 산소)을 하부 프로세스 존(132)으로 주입하는 단계이다. 관련 단계(블록 410)는 해리제(질소 가스)를 외부 플라즈마 챔버(134) 안으로 주입함으로써 폴리머 식각제 전구 종(산소)의 해리를 강화하는 단계이다. 웨이퍼 전면 상의 박막들의 식각을 최소화하거나 피하기 위해서, 다음 단계(블록 412)는 세정 가스(예컨대 N2 또는 Ar)를 상부 프로세스 존(130) 안으로 투입함으로써 상부 프로세스 존(130) 내의 폴리머 식각제 전구 종(산소)의 양을 감소시키는 단계를 포함한다. 관련 단계(블록 414)는 식각 종(예컨대 산소)을 제거하는 제거 가스(예컨대 H2 또는 CO)를 상부 프로세스 존으로 주입함으로써 상부 프로세스 존(130) 내의 식각제 종을 더욱 감소시키는 단계를 포함한다. 제거 가스는 비반응성 세정 가스에 추가로 사용될 수 있으며, 또는 이를 대체하여 사용될 수 있다.
도 6은 도 2의 반응기에서 수행될 수 있는 예시적인 방법을 도시한다. 제 1 단계(블록 416)는 웨이퍼를 300℃ 정도로 가열하면서 웨이퍼 후면의 환상부를 노출시키기 위해 페데스탈(112) 상에 웨이퍼를 지지하는 단계이다. 다음 단계(블록 418)는, 웨이퍼 전면 위의 상부 프로세스 존(130) 및 웨이퍼 후면 아래의 하부 프로세스 존(132)을 웨이퍼-측벽 간극을 2mm 미만으로 유지함으로써 이뤄지는 두 존 사이에서의 가스의 최소 이동과 함께 정하는 단계이다. 다음 단계(블록 420)는 웨이퍼-천장 간극(상부 프로세스 존(130)의 높이)을 2mm 미만으로 유지함으로써 웨이퍼 전면에서의 식각 종 또는 플라즈마의 축적을 방지하는 단계이다. 다른 단계(블록 422)는 폴리머 식각제 전구 가스(예컨대 산소)와 함께 하부 외부 플라즈마 챔버(134) 내에 제 1 플라즈마를 생성하고, 웨이퍼 후면에서 폴리머를 식각하기 위해서 플라즈마로부터의 부산물들(예컨대 라디칼들, 자유 산소)을 하부 프로세스 존(132)으로 주입하는 단계이다. 관련 단계(블록 424)는 산소 가스 유속의 1 내지 10%의 유속으로 해리제(질소 가스)를 하부 외부 플라즈마 챔버 안으로 주입함으로써 폴리머 식각 전구 종(산소)의 해리를 강화하는 단계이다. 다른 단계(블록 426)는 폴리머 식각 종을 제거하는 제거 종(H2 또는 N2)과 함께 상부 외부 플라즈마 챔버(200) 내에 제 2 플라즈마를 생성하고, 제 2 플라즈마의 부산물들(H 라디칼들 또는 N 라디칼들)을 상부 프로세스 존(130) 안으로 주입하는 단계이다. 웨이퍼 전면 상의 박막들의 시각을 감소하거나 제거하기 위해서, 추가 단계(블록 428)는, 웨이퍼 전면 상의 임계(탄소 함유 또는 로우-K) 막들의 손상을 피하기에 충분히 높은 속도로 상부 프로세스 존에서 폴리머 식각제 종(산소)을 제거하기 위해 웨이퍼 에지 근처의 펌핑 포트(217)에서 상부 프로세스 존(130)을 배기하는 단계이다. 관련 단계(블록 430)는 폴리머 식각제 종(산소)의 하부 프로세스 존(132)에서 상부 프로세스 존(130)으로의 이동을 최소화하고 웨이퍼 후면 에지로의 폴리머 식각제 종의 전달을 최대화하기에 충분히 높은 속도록 웨이퍼 에지 근처의 펌핑 포트(218)에서 하부 프로세스 존(132)을 배기하는 단계이다. 폴리머 식각 종의 웨이퍼 후면 에지로의 최대 전달을 위해서, 단지 전면 및 후면 펌프들(210, 216)만이 사용되고, 메인 펌프(146)는 제거되거나 미사용 된다.
도 7은 도 2의 반응기의 선택적인 모드에서 수행되는 예시적인 방법을 도시하며, 여기서 반응기는 웨이퍼 전면에서 포토레지스트를 식각하는데 사용된다. 제 1 단계(블록 432)는 폴리머 식각제 종(산소)의 하부 외부 플라즈마 소스(134)에서 하부 프로세스 존으로의 흐름을 중지시키는 단계이다. 상부 프로세스 존(130)의 높이를 지속적으로 제한하는 것이 바람직할지라도, 선택적으로 이 높이는 전면 포토레지스트 제거 단계의 준비로 증가될 수 있으며, 이 경우에 다음(선택적인) 단계(블록 434)는 식각 종이 상부 프로세스 존(130)에서 축적할 수 있는 거리(예컨대 0.5 내지 5cm)로 웨이퍼-천장 간극을 증가시키는 단계이다. 그러나 웨이퍼 전면 상에 포토레지스트 제거(stripping)를 수행하기 위해서 상부 프로세스 존 높이를 증가시키는 것이 필수적으로 요구되는 것은 아니다. 다음 단계(블록 436)는 웨이퍼 전면에서 포토레지스트의 식각비를 증가시키기 위해서 H2 가스와 함께 산소-함유 종(H2O 또는 N2O)을 감소된 유속으로 상부 외부 플라즈마 소스(200) 안으로 (수소 가스 유속의 1 내지 10 % 미만의 유속으로) 주입하는 단계이다. 도 7의 프로세스는 도 6의 프로세스 전에 또는 그 후에 수행될 수 있다. 이 단계에서 포토레지스트의 제거비는 만약 상부 프로세스 존(130)의 높이가 좁은(0.2 내지 2mm) 범위로 제한된다면 강화된다. 다른 한편으로, 균일성은 이 높이를 증가시킴으로써 증대되고, 블록 434 단계는 단지 상부 프로세스 존 높이를 미소한 양(fractional amount)만큼 증가시킬 수도 있다.
도 8은 웨이퍼 전면에서 포토레지스트를 식각하기 위한 도 2의 반응기에 대한 대안적인 방법을 도시하며, 여기서 용량성 결합 플라즈마가 상부 프로세스 존(130) 내에 생성된다. 제 1 단계(블록 438)는 폴리머 식각제 종(산소)의 하부 외부 플라즈마 챔버(134)에서 하부 프로세스 존으로의 흐름을 중지시키는 단계이며, 그 후(블록 440) 웨이퍼-천장 간극을 약 2 내지 5cm로 증가시키는 단계이다. 다음 단계(블록 442)는 포토레지스트 제거 종 가스(H2)를 상부 프로세스 존(130) 안으로 주입하는 단계이다. 추가 단계(블록 444)는 감소된 유속으로 산소-함유 종(H2O 또는 N2O)을 상부 프로세스 구역 안으로 주입하는 단계이다. 이러한 감소된 유속은 수소 가스 유속의 약 1 내지 10 %일 수 있다. 다음 단계(블록 446)는 웨이퍼 전면에서 포토레지스트를 저기하는 플라즈마를 생성하기 위해서 상부 프로세스 존 내에 RF 전력을 인가하는 단계이다.
도 9는 도 3의 반응기에서 수행될 수 있는 예시적인 방법을 도시하며, 여기서 후면 폴리머는 외부 플라즈마 소스로부터의 플라즈마 이온들, 라디칼들 및 뉴트럴들의 밀집된 또는 국부적인 스트림에 의해 제거된다. 제 1 단계(블록 448)는 웨이퍼를 300℃로 가열하면서 웨이퍼 후면의 환상부를 노출시키기 위해 페데스탈(112) 상에 웨이퍼를 지지하는 단계이다. 다음 단계(블록 450)는, 웨이퍼 전면 위의 상부 프로세스 존(130) 및 웨이퍼 후면 아래의 하부 프로세스 존(132)을 웨이퍼-측벽 간극을 2mm 미만으로 유지함으로써 이뤄지는 두 존 사이에서의 가스의 최소 이동과 함께 정하는 단계이다. 다음 단계(블록 452)는 웨이퍼-천장 간극(상부 프로세스 존의 높이)을 2mm 미만으로 유지함으로써 웨이퍼 전면에서의 식각 종 또는 플라즈마의 흐름 또는 전달 속도를 방지(또는 최소화)하는 단계이다. 추가 단계(블록 454)는 폴리머 식각제 전구 가스(예컨대 산소)와 함께 국부 외부 플라즈마 챔버(300) 내에 제 1 플라즈마를 생성하고, 웨이퍼를 회전시키면서 제 1 플라즈마로부터의 부산물들의 좁은 스트림을 웨이퍼 후면 근처의 분사 오리피스(302)를 통 해 웨이퍼 후면에 직접 향하게 하는 단계이다. 관련 단계(블록 456)는 해리제(질소 가스)를 국부 외부 플라즈마 챔버(300) 안으로 주입함으로써 폴리머 식각제 전구 종(산소)의 해리를 강화하는 단계이다. 다른 관련 단계(블록 457)는 국부 외부 플라즈마 챔버와 웨이퍼 양단에 RF 바이어스 전력을 인가함으로써 후면 폴리머 식각비를 증가시키는 단계이다. 다른 단계(블록 458)는 폴리머 식각 종을 제거하는 제거 종(H2 또는 N2)과 함께 상부 외부 플라즈마 소스(200) 내에 제 2 플라즈마를 생성하고, 제 2 플라즈마의 부산물들(H 라디칼들 N 라디칼들)을 상부 프로세스 존(130) 안으로 주입하는 단계이다. 다른 단계(블록 460)는 웨이퍼 전면 상의 임계(탄소-함유 또는 로우-K) 막들의 손상을 피하기에 충분히 높은 속도로 상부 프로세스 존에서 폴리머 식각제 종(산소)을 제거하기 위해 웨이퍼 에지 근처의 펌핑 포트(212)에서 상부 프로세스 존(130)을 배기하는 단계이다. 관련 단계(블록 462)는 폴리머 식각제 종(산소)의 하부 프로세스 존(132)에서 상부 프로세스 존(130)으로의 이동을 최소화하기에 충분히 높은 속도로 웨이퍼 에지 근처의 펌핑 포트(218)에서 하부 프로세스 존(132)을 배기하는 단계이다.
도 10은 웨이퍼 전면에서 포토레지스트를 제거하면서 동시에 웨이퍼 후면에서 폴리머를 제거하기 위한 도 2의 반응기에서 수행되는 예시적인 프로세스를 도시한다. 예를 들면, 폴리머 식각제 종으로부터의 손상에 특히 영향 받기 쉬운 (ULK 막과 같은) 웨이퍼 전면 상의 막이 없는 경우에, 또는 존재하는 임의의 임계 또는 ULK 막들이 하부 프로세스 존(132)에서 상부 프로세스 존(130)으로 흘러나가는 폴 리머 시각 종의 제한된 흐름을 견딜 수 있는 경우에, 도 10의 프로세스가 수행될 수 있다. 제 1 단계(블록 464)는 웨이퍼를 300℃로 가열하면서 웨이퍼 후면의 환상부를 노출시키기 위해서 웨이퍼를 지지하는 단계이다. 다음 단계(블록 466)는 , 웨이퍼 전면 위의 상부 프로세스 존(130) 및 웨이퍼 후면 아래의 하부 프로세스 존(132)을 웨이퍼-측벽 간극을 2mm 미만으로 유지함으로써 이뤄지는 두 존 사이에서의 가스의 최소 이동과 함께 정하는 단계이다. 추가 단계(블록 468)는 폴리머 식각제 전구 가스(예컨대 산소)와 함께 하부 외부 플라즈마 챔버(134) 내에 제 1 플라즈마를 생성하고, 웨이퍼 후면에서 폴리머를 식각하기 위해서 플라즈마로부터의 부산물들(예컨대 라디칼들, 자유 산소)을 하부 프로세스 존(132)으로 주입하는 단계이다. 관련 단계(블록 470)는 해리제(질소 가스)를 하부 외부 플라즈마 챔버(134) 안으로 주입함으로써 폴리머 식각제 전구 종(산소)의 해리를 강화하는 단계이다. 블록 468의 단계와 동시에 수행되는 단계(블록 472)는 제거 전구 가스(H2)와 낮은 비율의 산소-함유 종(H2O 또는 N2O)과 함께 상부 외부 플라즈마 챔버(200) 내에 제 2 플라즈마를 생성하는 단계이다. 제 2 플라즈마의 부산물들, 예컨대 제거 종(H 라디칼들) 및 산소-함유 라디칼들은 상부 프로세스 존(130) 안으로 주입된다. 제거 종은 상부 프로세스 존(130)에서 식각 종(예컨대 산소)을 제거하고, 또한 산소-함유 종의 도움으로 웨이퍼 전면에서 포토레지스트를 제거한다. 이 프로세스 동안, 포토레지스트 제거 속도를 증가시키기 위해서 좁은 범위(0.2 내지 2mm)로 상부 프로세스 존(130)의 높이를 유지하는 것이 바람직하다. 이 단계의 결과는 하부 외부 플라즈마 소스(134)를 이용하여 후면 폴리머를 제거하고, 동시에 상부 외부 플라즈마 소스(200)를 이용하여 전면 포토레지스트를 제거하는 것이다. 산소-함유 종(H2O 또는 N2O)의 상부 외부 플라즈마 소스(200) 안으로의 유속은 예를 들어 수소의 상부 외부 플라즈마 소스(200) 안으로의 유속의 1 내지 10%일 수 있다.
도 11은 도 3의 반응기의 변형을 도시하며, 여기서 웨이퍼 후면 에지로 향해진 플라즈마 부산물 스트림 또는 분출은 외부 플라즈마 소스라기보다는 반응기 자체 내의 컴포넌트들에 의해 생성된다. 이러한 목적을 위해, 도 3에 도시된 외부 플라즈마 소스(300)와 같은 외부 플라즈마 소스는 도 11의 실시예에서 수정과 같은 절연 물질로 형성될 수 있는 원통형 밀폐 인클로저(cylindrical sealed enclosure; 502), 및 원통형 인클로저(502)의 일부를 둘러싼 코일(504)로 구성된 내부 플라즈마 소스(500)에 의해 대체된다. 도시된 반응기에서 코일은 챔버의 바깥에 있다. 인클로저(502)는 이의 바닥 단부(502a)에서 막혀있으며, 이의 상단 단부 방향에서는 노즐 또는 원뿔형 출구(502b)를 형성하며, 웨이퍼(118)의 후면 에지에 가까이 있다. 폴리머 식각 가스 종을 저장하는 가스 공급원(506)은 바닥 단부(502a)를 통해 인클로저(502)와 연결된다. RF 생성기(508)는 코일(504)과 (선택적인 임피던스 매칭을 통해, 미도시) 연결되며, 인클로저(502) 내에 유도성 결합 플라즈마를 생성하기에 충분한 전력을 공급한다. 인클로저(502) 내의 압력은 하부 프로세스 존(132)의 압력보다 더 크다. 이러한 압력 차는 메인 진공 펌프(1460과 인클로저(502)에 연결된 선택적 진공 펌프(509)에 의해 조절될 수 있다. 예를 들어 라디 칼들, 뉴트럴들 및/또는 이온들과 같은 플라즈마 부산물들은 노즐 출구(502b)를 통해 나오고, 웨이퍼 후면 에지의 목표 영역에 충돌하는 밀집된 또는 국부적인 스트림(510)을 형성한다. 스트림(510)의 이온 에너지를 조절하기 위해서, 선택적인 RF 바이어스 전력 생성기(512)는 인클로저(502) 내의 내부 전극(514)과 웨이퍼 페데스탈(112) 사이에 연결될 수 있다. 도 3의 상부 외부 플라즈마 소스(200)가 도 11의 반응기에서 사용될 수 있지만, 이러한 선택은 도 11에서 점선으로만 도시된다. 대신에, 도 11의 실선 이미지는 (선택적으로) 세정 가스 공급원(140)이 외부 플라즈마 소스 없이 천장 가스 분배 플레이트(104)를 통해 세정 가스들을 공급할 수 있음을 도시한다. 세정 가스는 도 1을 참조로 논의된 것과 같인 비반응성일 수 있으며, 또는 반응성 제거 종일 수 있다.
일 실시예에서, 희망하는(예컨대 300℃) 웨이퍼 온도를 제공하기 위해서, 페데스탈(112)은 도 3에서와 같이 가열될 수 있거나, 또는 천장 위의 복사 램프들(미도시)이 사용될 수 있다. 일 실시예에서, 공정-적합(process-compatible) 물질인 라이너(520)는 페데스탈(112)의 측면 및 하부 에지 표면을 덮을 수 있으며, 공정 적합 물질인 라이너(522)는 측벽(102)을 덮을 수 있다. 라이너들(520, 522)은 플라즈마 스트림(510)에 의한 챔버 표면들의 식각으로 인한 금속 오염을 최소화하는데 유용할 수 있다. 공정-적합 물질은 예를 들어 수정일 수 있다. 일 구현에서, 천장(104)은 수정과 같은 공정-적합 물질로 형성될 수 있다. 이 경우에, 천장은 도 11에 도시된 가스 분배 플레이트 특징 없이 평탄한 단일 구조일 수 있다.
대안적인 실시예에서, 도 11의 플라즈마 소스 인클로저(502)는 도넛형 플라 즈마 소스를 형성하기 위해 도넛 모양일 수 있다.
다른 대안적 실시예에서, 유도성 플라즈마 소스(500)(튜브 인클로저(502))는 도 12에 도시된 바와 같이 용량성 결합 소스(530)로 대체 될 수 있다. 용량성 결합 소스(530)는 웨이퍼(117)의 후면에 가깝게 마주하는 작은 방전 부분 또는 영역(532-1), 및 하나 이상의 축 방향으로 연장한 다리(532-2) 구비한 전도성 전극(532)을 포함한다. 폴리머 식각 가스 종은 가스 공급원(506)으로부터 챔버 바닥 내의 개구(533)를 통해 하부 프로세스 존(132) 안으로 주입된다. RF 생성기(534)는 축 방향으로 연장한 다리(532-2)의 바닥 단부와 웨이퍼 페데스탈(112) 사이에 연결된다. 제 1 다리(532-2)와 평행한 축 방향으로 연장한 제 2 다리(532-3)가 제공될 수 있다. 생성기(534)로부터의 RF 전력은 전극 방전 부분(532-1)과 웨이퍼 후면 에지의 상응하는 영역 사이의 작은 간극에서 플라즈마 방전을 생성한다. 일 실시예에서, 웨이퍼(118)와 마주하는 전극(532) 면(또는 전체 전극(532))은 라이너(532)로 덮일 수 수 있으며, 이는 수정과 같은 공정-적합 물질로 형성될 수 있으며, 이는 금속 오염을 방지하거나 최소화하는데 유용하다. 도 11의 실시예에서와 같이, 웨이퍼는 전체 후면 에지 원주가 국부적인 플라즈마에 노출되도록 회전될 수 있다.
만약 복사형 가열(radiant heating)이 웨이퍼(118)를 가열하는데 사용된다면, 페데스탈(112)은 필수적이지 않을 수 있으며, 이는 이하에서 논의될 것이다.
도 13의 반응기에서, 플라즈마 스트림에 웨이퍼 바닥 원주를 노출시키기 위해 웨이퍼를 회전시킬 필요성은, 전체 원주 둘레로 연장하는 후면 에지 아래의 플 라즈마 링(538)을 대신 발생시킴으로써 제거된다. 이것은, 전술한 바와 같이, 천장(104)에 매우 근접하게 웨이퍼(118)를 배치하고, 그 후 웨이퍼(118)의 에지 위에 위치된 코일 안테나(540)에 RF 전력을 인가함으로써 수행될 수 있다. 코일 안테나(540)는 예를 들어 솔레노이드의 도전성 권선으로 구성될 수 있다. 웨이퍼가 도 11의 가열형 페데스탈(112)과 함께 도 13에 도시된 상승된 위치에 고정될 수 있지만, 도 13은 웨이퍼가 리프트 작동기(116)에 의해 제어되는 리프트 스파이더(lift spider; 544) 상에 매달린 리프트 핀(542)에 의해 어떻게 상승되는지를 도시한다. 이러한 경우에, 웨이퍼는 천장(104)을 통하여 복사 램프(548)에 의하여 가열된다. 대안적으로, 천장-위(above-ceiling)의 코일(540)은 측벽(102) 둘레의 코일(540')으로 대체될 수 있다.
특정 실시예에서, 포토레지스트는 개별 공정에서 웨이퍼 전면으로부터 제거된다. 이러한 실시예에서, 웨이퍼는 도 13의 점선 위치로 하강되고, 가스들은 본원에서 상술된 바와 같이 포토레지스트를 제거할 수 있는 천장 가스 분배 플레이트(104)를 통하여 주입된다. 다른 실시예에서, 제 2 내부 코일 안테나(550)가 제공되어 웨이퍼 중앙 근처의 플라즈마 이온의 밀도를 제어한다. 또한 제 2 내부 코일 안테나(550)의 존재는 포토레지스트 제거의 균일성을 향상시킨다. 2개의 코일 안테나(540, 550)는 플라즈마 이온 밀도의 방사상 분배의 조절을 가능하게 하기 위해서, 독립적으로 조절된 RF 전력 레벨로 구동될 수 있다. 이것은 개별 코일 안테나(540, 550)들에 연결된 개별 RF 생성기들(552, 554)을 제공하거나, 또는 단일 RF 생성기(556)를 제공함으로써 달성될 수 있으며, 단일 RF 생성기(556)의 전력은 전 력 스플리터(power splitter; 558)에 의해 두 안테나들(540, 550) 사이에 제어 가능하게 배분된다. 램프 가열기들(548)은 내부 및 외부 코일 안테나(540, 550) 사이에 천장 위의 빈 공간에 배치된다.
도 11의 가열형 페데스탈(112)은 도 13의 상승된 위치에서 웨이퍼(118)를 고정하는데 사용될 수 있다. 이 경우에, 복사형 램프 가열기가 부재하고 낮은 챔버 압력에 대해, 효율적인 열 전달은 페데스탈(112) 상의 정전기 척(electrostatic chuck)의 사용을 필요로 한다. 웨이퍼 지지 페데스탈을 사용하는 이점은, 웨이퍼의 균일한 가열 또는 온도 제어를 수행하는 동시에, 바이어스 전력이 매우 균일한 방식으로 웨이퍼에 인가될 수 있게 한다는 것이다. 또한, 공정-적합 물질들을 구비한 라이너(520)는 플라즈마 공정 중에 페데스탈 물질의 과도한 소비 및 금속 오염을 방지하기 위해 제공될 수 있다.
웨이퍼를 가열하기 위해 복사 램프(548)를 사용하는 이점은 열 전도 또는 대류가 좋지 않은 낮은 챔버 압력의 경우에, (가열형 페데스탈과 비교하여) 더욱 빠르게 열을 전달한다는 것이다.
도 14는 도 13의 반응기의 다른 실시예를 도시하며, 여기서 링 플라즈마 생성을 위한 코일 안테나(540)는 웨이퍼 에지를 둘러싼 링 전극(560)으로 대체되고 , RF 생성기(562)는 링 전극(560)과 연결된다. 링 전극(560)으로부터의 RF방전은 용량성 결합(capacitive coupling)에 의한 링 플라즈마(538)를 생성한다. 도 15는 도 14의 반응기의 수정을 도시하며, 여기서 링 전극(560')은 챔버(100)의 바깥에 위치한다.
도 16은 본원에서 설명된 임의의 반응기에서 수행될 수 있는 수정을 도시하며, 여기서 천장(140)은 웨이퍼(118)의 크기에 상응하는 얕은 원통형 공동(shallow cylindrical hollow; 570)을 구비한다. 특정 실시예에서, 웨이퍼는 후면 폴리머 제거 공정 동안 폴리머 식각 가스들로부터 웨이퍼 전면을 효과적으로 보호하기 위해 공동(570) 안으로 상승될 수 있다.
도 17은 반응 챔버가 상승된 웨이퍼 위치에서 웨이퍼 후면에서의 폴리머의 반응성 이온 식각과 그 후의 하강된 웨이퍼 위치에서 웨이퍼 전면에서의 포토레지스트 제거(photoresist stripping)를 수행하는데 사용되는 프로세스를 도시한다. 온도 스위칭은 웨이퍼 전면 보호를 강화하기 위하여 사용된다. 구체적으로, 후면 폴리머 제거는 낮은 웨이퍼 온도에서 수행되고, 그 후 전면 포토레지스트 제거는 높은 웨이퍼 온도에서 수행된다(상기 순서는 바뀔 수 있음). 후면 폴리머 반응성 이온 식각 단계는 웨이퍼 전면 상의 박막들(예를 들어, 포토레지스트)과 부산물(라디칼)들의 반응을 지연시키기에 충분히 낮은 웨이퍼 온도에서 수행될 수 있다. 만약 전면 포토레지스트 제거 공정이 원격 소스로부터의 라디칼들을 사용한다면, 포토레지스트와 라디칼들의 반응 속도가 현저하게 증가하는 임계(threshold)까지 웨이퍼 온도를 상승시킴으로써 용이하게 이뤄진다. 만약 전면 포토레지스트 제거 공정이 반응성 이온 식각 공정이라면, 웨이퍼 온도는 이 단계 동안 필수적으로 증가되어야만 하는 것은 아니다.
이제 도 17에 도시된 예시적인 프로세스를 참조하면, 제 1 단계(블록 660)는 웨이퍼 후면의 환상부를 노출시키기 위해 페데스탈 상에 웨이퍼를 지지하는 단계이 다. 웨이퍼의 온도는 웨이퍼 박막 물질들과 폴리머 식각 종 라디칼들의 반응 속도가 현저하게 낮아지는 임계 온도(예컨대 200℃) 보다 낮게 설정된다(블록 602). 다음 단계(블록 604)는 웨이퍼 전면 위의 상부 프로세스 존(130) 및 웨이퍼 후면 아래의 하부 프로세스 존(132)을 웨이퍼-측벽 간극을 2mm 미만으로 유지함으로써 이뤄지는 두 존 사이에서의 가스의 최소 이동과 함께 정하는 단계이다. 추가 단계(블록 604)는 웨이퍼-천장 간극(상부 프로세스 존의 높이)을 2mm 미만으로 유지함으로써 웨이퍼 전면에 식각 종 또는 플라즈마의 축적을 방지하는 단계이다. 다른 단계(블록 608)는 웨이퍼-측벽 간극을 통해 누출될 수 있는 임의의 식각 종 라디칼들을 제거하기 위해 상부 프로세스 존을 세정하는 단계이다. 웨이퍼 전면 상의 박막들의 식각을 감소하거나 또는 방지하기 위해서, 추가 단계(블록 610)는 웨이퍼 전면 상의 임계(탄소-함유 또는 로우-K) 막의 손상을 방지하기에 충분히 높은 속도로 상부 프로세스 존으로부터 폴리머 식각제 종(산소)을 제거하기 위해 웨이퍼 에지 근처의 펌핑 포트에서 상부 프로세스 존을 배기하는 단계이다. 관련 단계(블록 612)는 웨이퍼의 에지 근처의 펌핑 포트에서 하부 프로세스 존을 배기하는 단계이다. 후면 폴리머가 완전히 제거될 때까지, 웨이퍼 후면의 폴리머의 반응성 이온 식각은 웨이퍼 후면 에지에 가까운 플라즈마와 함께 수행된다(블록 614). 그 후 웨이퍼 후면에 플라즈마의 인가(application)는 중지되고, 상부 프로세스 존 내에 플라즈마 또는 라디칼들의 축적을 허용하기 위해서, 웨이퍼는 상부 프로세스 존의 높이를 증가시키기 위해서 하강된다(블록 616). 그 후, 웨이퍼 전면 상의 포토레지스트와 라디칼들의 반응 속도를 현저하게 증가시키기 위해, 웨이퍼 온도는 더 높 은 임계 온도(예컨대 300℃)보다 높게 상승된다. 통상적으로, 낮은 임계 온도에서 높은 임계 온도로의 온도 상승에 상응하는 반응 속도 상승은 약 5배이다. 원격 플라즈마 소스로부터의 라디칼들은 전면 포토레지스트를 제거하는데 사용된다(블록 620). 대안적으로, 웨이퍼의 온도를 상승시키는 단계(618)가 생략되고, 반응성 이온 식각 공정이 포토레지스트를 제거하는데 사용될 수 있다(블록 622).
도 18은 도 17의 프로세스를 수행할 수 있는 도 13의 플라즈마 반응기의 수정을 도시한다. 이러한 수정에서, 전면(예컨대 포토레지스트 제거) 공정 가스 공급원(160)은 세정 가스 공급원(140)과 함께 천장(140)을 통해 제공된다. 외부 코일(540')은 웨이퍼 면 아래의 축 방향 위치로 옮겨진다. 선택적으로, 가열 램프들(548)은 천장에서 챔버 하부의 바닥으로 옮겨진다. 도 18의 가열 램프들(548)은 도 17의 블록 602의 웨이퍼 온도 제어 단계를 수행하는데 사용될 수 있다. 수정 윈도우(quartz window; 549)는 가열 램프들(548)을 위하여 바닥에 제공된다. 도 17의 블록 606의 작은 웨이퍼-천장 간극은 도 18의 반응기 내의 웨이퍼의 상승된(실선) 위치에서 실현된다. 도 18의 세정 가스 공급원(140)은 도 17의 블록608의 세정 단계를 위해 가스를 공급한다. 도 18의 펌핑 포트들(217, 218)은 도 17의 블록 610 및 612 단계에서 사용된다. 도 17의 블록 614의 반응성 이온 식각 단계는 외부 코일(540')에만 RF파워를 인가하는 도 18의 스플리터(588)에 의해 수행된다. 이것은 도 13을 참조하여 전술한 바와 같이, 후면 웨이퍼 에지 아래 플라즈마의 링을 형성하여 후면 폴리머를 제거한다. 이 단계의 완료시, 웨이퍼(118)는 도 18의 점선 위치로 하강되고, 공정 가스(예컨대 포토레지스트 제거 공정 가스)는 가스 공 급원(160)으로부터 천장(104)을 통해 지금 확대된 상부 프로세스 존으로 주입된다. 스플리터(558)는 웨이퍼의 전면 상에 플라즈마 이온 균일성을 최적화하기 위하여 두 코일 간에 전력을 배분하면서, RF파워를 내부 및 외부 코일 (540, 550) 모두에 인가한다. RF 전력 및 가스 흐름은 도 17의 블록 622의 반응성 이온 포토레지스트 식각 단계가 종료될 때까지 유지된다.
도 19는 도 18의 실시예의 수정을 도시하며, 여기서 천장(104)은 넥 캡(neck cap; 654)과 원통형 측벽(652)을 구비한 위로 연장한 넥(neck; 650)을 형성하도록 수정되어 넥 볼륨(656)을 형성한다. 세정 가스 공급원(140)과 공정 가스 공급원(160)으로부터의 산출물은 넥 볼륨 안에 수용된다. 내부 코일(550)이 넥 측벽(652) 둘레에 감겨, 넥 볼륨(656)이 원격 플라즈마 소스 챔버로 기능한다. 도 19의 스플리터(558)는 웨이퍼 후면 웨지 아래에 플라즈마 링을 형성하기 위해 후면 식각 공정 중에 외부 코일(540)에만 전력을 인가한다. 스플리터(558)는 원격 플라즈마 소스를 제공하기 위해 전면 식각 공정 중에 내부 코일(550)에만 전력을 인가한다. 도 19의 낮은 위치(점선)에 위치한 웨이퍼(118)에서 원격 소스 챔버(656)까지의 거리 때문에, 도 17의 블록 620의 단계에 따라, 넥 내의 플라즈마로부터의 이온은 웨이퍼에 도달하기 전에 재결합하고, 따라서 전면 식각은 라디칼-기초 공정이다. 이는 도 17의 블록 618에 따라, 라디칼 반응 임계 온도 이상으로 웨이퍼 온도를 상승시키기 위해 이 단계 이전에 도 19의 가열 램프들(548)을 사용하는 것이 유익하게 한다.
도 11의 반응기는 원격 플라즈마 소스(200)와 이의 공정 가스 공급원을 추가 함으로써 도 17의 프로세스를 수행하도록 적응될 수 있다. 원격 플라즈마 소스(200)는 넥 또는 튜브(도 19에 도시) 둘레를 둘러싼 코일을 이용하여 구현될 수 있으며, 또는 예를 들어 마이크로웨이브 플라즈마 소스와 같은 다른 타입의 플라즈마 소스일 수 있다. 도 11의 웨이퍼 페데스탈(112)은 도 17의 프로세스에 따라서 웨이퍼 온도를 제어할 수 있고, 도 17의 프로세스의 선택적 특징으로써, 페데스탈(112)은 도 11의 상승 및 하강(점선) 위치 사이에서 이동될 수 있다. 도 12의 반응기는 동일한 방식으로 수정되어 도 17의 프로세스를 수행하도록 적응시키며, 도 12의 반응기의 천장에 원격 플라즈마 소스(200)를 추가하고, 도 12의 실선과 점선 위치 사이에서 페데스탈(112)을 이동시킨다. 동일한 수정들은 도 13, 도 14 및 도 15의 반응기 중 어느 하나에서 수행될 수 있다. 도 13, 도 14 및 도 15 중 어느 하나는, 리프트 핀이 웨이퍼 위치를 제어하기 위하여 사용될 수 있지만, (상승된 위치와 수축된 위치 모두에서 점선으로 부분적으로 도시된) 이동 가능한 가열형 페데스탈(112)이 대용될 수 있으며, 이러한 경우 가열 램프들(548)은 필요하지 않을 것이라는 것을 도시한다. 도 11 내지 도 15의 각각의 반응기에서, 웨이퍼 페데스탈(112)의 선택적인 사용은 바이어스 전력이 웨이퍼에 인가되도록 한다. 이러한 특징의 장점은 후면 식각 공정의 향상, 및 웨이퍼 천장 간극이 플라즈마 덮개 두께보다 작은 경우 상부 프로세스 존(130) 내의 이온들의 억제를 포함한다.
웨이퍼에서 후면 폴리머를 제거하기 위한 반응성 이온 식각은 도 3의 반응기를 이용하여 도 9의 프로세스에서 달성될 수 있다. 이러한 특징에서, 도 3의 후면 플라즈마 소스(300)는 웨이퍼의 후면에 도달하기에 충분한 이온들의 흐름을 생성한 다. 이러한 조건은 외부 플라즈마 소스(30)의 챔버 압력을 압력으로 고정시킴으로써 달성된다. 이러한 단계에서, 웨이퍼 온도는 웨이퍼 전면 박막 물질들과의 폴리머 식각 라디칼들의 반응 속도가 매우 느려지는(예컨대 약 300℃에서보다 약 5배 더 느려진) 낮은 임계 온도(예컨대 약 200℃) 보다 낮게 고정된다. 후면 폴리머를 제거하는데 사용되는 반응성 이온 식각 공정은 낮은 웨이퍼 온도에서 방해되지 않는다. 따라서 이러한 낮은 웨이퍼 온도는 폴리머 식각 이온이 후면 폴리머와 반응하는 것을 방지하지 않지만, 낮은 프로세스 존(132)에서 상부 프로세스 존(130)으로 흘러 나갈 수 있는 폴리머 식각 라디칼들의 웨이퍼 전면 물질들과의 반응 속도를 효과적으로 낮춘다. 후면 폴리머 제거 단계 동안 사용된 작은 웨이퍼-천장 간극은 본질적으로 상부 프로세스 존에서 잔존할 수 있는 폴리머 식각 종의 대부분(또는 전부)은 라디칼들 또는 뉴트럴들이지 이온들은 아니라는 것을 보장한다.
도 7의 전면 포토레지스트 제거 단계 중에, 웨이퍼 전면을 손상하게 하는 폴리머 식각 종은 없으며, 웨이퍼 온도는 라디칼들이 포토레지스트와 같은 전면 박막 물질들과 더 빠른 속도(예컨대 200℃의 낮은 임계온도에서보다 약 5배 빠른 속도로)로 반응할 수 있는 높은 임계 온도(예컨대 300℃)보다 높이 상승된다. 통상적으로, 웨이퍼 온도는 계속적으로 변화한다. 만약 웨이퍼가 웨이퍼의 온도가 상승하도록 가열된다면, 후면 폴리머 제거 단계는 웨이퍼 온도가 낮은 임계 온도 웨이퍼 온도를 넘기 전에 수행되며, 전면 포토레지스트 제거 단계는 웨이퍼 온도가 높은 임계 온도에 도달하거나 이를 초과할 때까지 수행되지 않는다. 예를 들어, 웨이퍼 온도는 상온 보다 미세하게 높게 시작하여 전체 공정 중에 상승할 수 있다. 온도가 약 150℃ 또는 200℃를 초과하기 전의 기간(period)에서, 후면 폴리머 제거 단계가 수행되고 완료시 정지된다. 그리고 웨이퍼 온도가 300℃에 도달하거나 거의 근처에 이르게 되도록 중지한 후에, 전면 포토레지스트 단계가 수행된다. 도 7 및 도 9의 프로세스는 어떠한 순서로도 수행될 수 있으며, 장점은 웨이퍼를 제거해야만 하지 않고 동일한 반응기에서 수행될 수 있다는 것이다. 도 7의 프로세스에서 요구되는 바와 같이 웨이퍼를 낮출 때, 후방 플라즈마 소스(예를 들어, 도 3의 300)는 웨이퍼의 움직임을 방해하지 않도록 이동된다.
도 3의 반응기는 외부 플라즈마 소스(300)를 도 11의 내부 유도성 소스(500, 502, 508), 또는 도 12의 내부 용량성 소스(530, 532, 534)와 같은 내부 플라즈마 소스로 대체하여 수정될 수 있다.
본원에서 상세히 전술한 바와 같이, 웨이퍼 전면 보호는 좁은 웨이퍼-천장 간극을 형성하고 이 좁은 간극을 통해 세정 가스 또는 제거 가스를 펌핑함으로써 제공된다. 이러한 보호는 후면 폴리머를 제거하는 동안 임계 온도 아래로 웨이퍼 온도를 유지하는 특징에 의해 개선될 수 있다.
통상적으로, (이온들 없이)폴리머 식각 라디칼들은 약 200℃의 낮은 임계 온도보다 낮은 온도에서 포토레지스트와 매우 느리게 반응하지만, 더 높은 반응 속도는 약 300℃의 높은 임계 온도보다 높은 온도에서 얻어질 수 있다. 낮은 임계 온도(약 200℃)로부터 높은 임계 온도(약 300℃)로 웨이퍼 온도를 증가시킴에 따라서, 폴리머 식각비는 약 5배만큼 증가된다. 따라서 웨이퍼 전면 보호는 후면 폴리머 제거 공정 동안 웨이퍼 온도를 200℃의 낮은 임계 온도 보다 낮게(예를 들어, 150℃) 유지함으로써 강화될 수 있다. 다음의 전면 포토레지스트 제거 동안, 웨이퍼 온도는 약 300℃로 상승된다.
Torr 정도의 높은 챔버 압력에서, 도 3의 가열형 정전기 척 또는 페데스탈(112)은 웨이퍼 온도를 제어하기 위해 사용될 수 있다. 이의 한 가지 장점은, RF 플라즈마 바이어스 전력이 공정을 강화하기 위해서 웨이퍼에 인가될 수 있다는 것이다. 가열형 페데스탈(112)을 사용함에 있어서 가능한 단점은 페데스탈(112)이 수정, 알루미나, 또는 이트리아와 같은 적합 물질로 이루어진 보호 라이너를 필요로 할 수 있다는 것이다. 더 낮은 챔버 압력에서, 필수적인 열 교환율을 달성하기 위하여 복사 램프들을 사용하는 것이 필수적일 수 있다. 복사 램프들의 한 가지 장점은 웨이퍼 온도가 두 온도 사이에서 보다 빠르게 스위칭될 수 있으며, 특히 웨이퍼가 공정 중에 페데스탈(112)과의 접촉 위로 상승된 경우에는 더욱 그러하다는 것이다.
도 20은 도 2의 반응기의 수정을 도시하며, 여기서 외부 플라즈마 소스(134)로부터의 플라즈마 부산물들(라디칼들)은 페데스탈(112)의 바닥 안의 환상 플레넘(annular plenum; 630) 안으로 그리고 페데스탈(112) 내의 축 방향 원통형 보어(cylindrical bore; 632)들을 통해 위쪽으로 공급되고, 웨이퍼(118)의 주변 에지에 일반적으로 향해진 분사 오리피스들(634)을 통해 배출된다. 환상 플레넘(630)은 가스 또는 플라즈마 부산물들을 각각의 보어(602)의 바닥에 공급하고, 분사 오리피스들 또는 노즐들(634)은 보어들(632)의 상부로부터 플라즈마 부산물들을 수용한다. 도 21에 도시된 바와 같이, 보어들(632)과 노즐들(634)은 동심으로 정렬된 다. 이러한 방법에서, 외부 플라즈마 소스(134)로부터의 플라즈마 부산물들의 흐름은 페데스탈(112)의 내부 보어들(632) 내로 시준 되고, 분사 오리피스들(634)로부터 유도된 스프레이 패턴의 원형의 어레이로 겨냥한다. 페데스탈(112)은 웨이퍼 후면의 주변 환상부가 노출되게 하기 위해서 웨이퍼(118)의 직경보다 작은 직경을 갖는 웨이퍼 지지 표면(636)을 갖는다. 페데스탈(112)은 웨이퍼 지지표면 아래이나 평행한 주변 환상 표면(638)을 추가로 갖고, 두 표면(636, 638) 사이의 높이 차이는 주변 환상 표면(638)과 웨이퍼 후면 사이의 가스 흐름 공간(640)을 제공한다.
도1 내지 도3의 실시예에 따르면, 상부 프로세스 존(130)과 하부 프로세스 존(132) 사이의 경계는 웨이퍼(118)의 환상 에지에서의 가스 흐름을 저해함으로써 설정된다. 도 20의 반응기에서, 이것은 페데스탈(112)을 둘러싼 제한 링(confinement ring; 640)을 제공하고, 웨이퍼의 에지(118a) 근접한 내부 에지(640a)를 가짐으로써 달성되고, 2개의 에지(118a, 640a)는 약 0.5 내지 5mm의 작은 간극에 의해 분리된다. 이러한 간극은 상부 프로세스 존(130)과 하부 프로세스 존(132) 사이의 가스 흐름을 제한할 만큼 충분히 작고, 따라서 웨이퍼 후면 에지에서 폴리머를 식각하는 동안 웨이퍼 전면을 보호한다. 폴리머 식각 종의 배기는 페데스탈의 주변 환상 표면과 링(640)의 바닥 표면(644)사이의 수평 방사 공간(642)을 통해 메인 진공 펌프(146)에 의해 수행된다. 이러한 배기는 페데스탈(112)의 측면(648)과 링(640)의 수직면(649) 사이의 수직 축방향 공간(646)을 통해 연장한다.
도 20의 도면에 도시된 구현에서, 외부 플라즈마 소스(134)의 챔버(220)는 도넛형이고, RF 소스 전력 어플리케이터(222)는 챔버(220)의 섹션 둘레를 둘러싼 자기적으로 투과 가능한 링(magnetically permeable ring; 220a), 및 링(222a) 둘레를 둘러싸고 임피던스 매칭(135)을 통해 RF 소스 전력 생성기(137)에 의해 구동되는 코일(222b)을 포함한다. 챔버(220)는 도관(224)을 통해 플레넘(630)과 연결된다.
상술한 내용은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가 실시예들이 본 발명의 기본적인 범위에서 벗어나지 않고 발명될 수 있으며, 본 발명의 범위는 이하의 청구범위에 의해 결정된다.
본 발명의 상기 언급된 실시예들이 달성되고 상세히 이해될 수 있도록, 위에서 간단히 요약된 본 발명의 보다 구체적인 설명은 첨부된 도면들에 도시된 실시예들을 참조로 이뤄질 것이다. 그러나 첨부된 도면들은 본 발명의 단지 통상적인 실시예들을 도시하는 것이며, 따라서 다른 균등하게 효과적인 실시예들을 허용할 수 있는 본 발명에 대해, 그 범위를 제한하는 것으로 간주되지 않는다.
도 1A는 후면 폴리머 제거 반응 챔버를 도시하며, 여기서 폴리머 식각 종은 웨이퍼 후면을 향하여 제 1 외부 플라즈마 소스로부터 공급된다.
도 1B 및 1C는 본원에 설명된 각각의 반응기에서 사용될 수 있는 도 1A의 반응기의 워크피스 지지 페데스탈의 구현에 대한 평면도와 정면도를 각각 도시한다.
도 2는 도 1의 후면 폴리머 제거 반응 챔버의 변형을 도시하며, 여기서 식각제 제거 종은 웨이퍼의 정면을 향하여 제 2 외부 플라즈마 소스로부터 공급된다.
도 3은 다른 후면 폴리머 제거 반응 챔버를 도시하며, 여기서 핫(hot) 라디칼 또는 이온들의 밀집된 스트림은 웨이퍼 근처에 위치한 개별 플라즈마로부터 웨이퍼 후면 에지로 향하게 된다.
도 4는 도 3의 챔버의 일부에 대한 확대도이며, 핫 라디칼 또는 이온들의 밀집된 스트림을 수용하기 위한 특정 물질들의 배치를 도시한다.
도 5는 도 1A의 반응 챔버와 함께 수행되는 후면 폴리머 제거 공정을 도시한다.
도 6은 도 2의 반응 챔버와 함께 수행되는 후면 폴리머 제거 공정을 도시한 다.
도 7은 도 6의 프로세스에 대해 웨이퍼 전면으로부터 포토레지스터를 제거하기 위한 추가적인 단계들의 그룹을 도시한다.
도 8은 도 6의 프로세스에 대해 웨이퍼 전면으로부터 포토레지스터를 제거하기 위한 추가적인 단계들의 대안적인 그룹을 도시한다.
도 9는 도 3의 반응기와 함께 수행되는 후면 폴리머 제거 공정을 도시한다.
도 10은 웨이퍼로부터 후면 폴리머와 전면 포토레지스터를 동시에 제거하기 위한 도2의 반응기에서 수행되는 대안적인 프로세스를 도시한다.
도 11은 도 3의 반응기의 변형을 도시하며, 여기서 플라즈마 스트림의 외부 플라즈마 소스는 내부 유동성 결합 소스로 대체된다.
도 12는 도 11의 반응기의 변형을 도시하며, 여기서 내부 유도성 결합 소스는 내부 용량성 결합 소스로 대체된다.
도 13은 대안적인 접근법을 도시하며, 여기서 링 플라즈마가 유도성 결합 소스에 의해 웨이퍼 후면 에지 아래에서 생성된다.
도 14는 도 13의 반응기의 변형을 도시하며, 여기서 유도성 결합 소스는 링 플라즈마를 생성하기 위한 내부 용량성 결합 소스 전극으로 대체된다.
도 15는 도 14의 반응기의 변형을 도시하며, 여기서 내부 용량성 결합 소스 전극은 외부 용량성 결합 소스 전극으로 대체된다.
도 16은 후면 폴리머 제거 동안 웨이퍼 전면을 보호하기 위한 천장의 특징을 도시한다.
도 17은 온도 스위칭을 수반하는 후면 폴리머 제거와 전면 포토레지스트 스트립을 위한 프로세스의 블록 다이어그램이다.
도 18은 도 17의 프로세스를 수행하기에 적합한 제 1 반응기를 도시한다.
도 19는 도 17의 프로세스를 수행하기에 적합한 제 2 반응기를 도시한다.
도 20 및 21은 도 2의 반응기의 변형을 도시한다.
이해를 용이하게 하기 위해서, 도면들에 공통적인 동일한 구성요소를 가리키는데 가능한 동일한 참조 번호가 사용되었다. 도면들의 도는 모두 개략적이며 비례하지는 않다.

Claims (15)

  1. 워크피스(workpiece)의 후면에서 폴리머를 제거하기 위한 방법으로서,
    상기 후면의 주변 환상부가 노출되도록 하면서 진공 챔버 내에서 상기 후면 상에서 상기 워크피스를 지지하는 단계;
    상기 워크피스의 에지에서의 가스 흐름을 상기 워크피스 에지에서의 간극 내로 제한하는 단계 - 상기 간극은 상기 챔버 직경의 약 1%정도로 구성되고, 상기 간극은 전면을 포함한 상부 프로세스 존과 상기 후면을 포함한 하부 프로세스 존 사이의 경계를 형성함 - ;
    상기 하부 프로세스 존을 배기하는 단계;
    폴리머 식각 전구 가스로부터 외부 챔버 내에서 플라즈마를 생성하고, 상기 플라즈마로부터의 부산물을 상기 하부 프로세스 존으로 주입하는 단계; 및
    상기 상부 프로세스 존에서 폴리머 식각 종을 제거하기 위해서 세정 가스(purge gas)를 상기 상부 프로세스 존으로 펌핑하는 단계
    를 포함하는 워크피스의 후면에서 폴리머를 제거하기 위한 방법.
  2. 제1항에 있어서,
    웨이퍼 전면과 반응기 천장 사이의 상기 상부 프로세스 존을 상기 챔버 직경의 1%정도의 상부 프로세스 존 높이로 제한하는 단계를 더 포함하는, 워크피스의 후면에서 폴리머를 제거하기 위한 방법.
  3. 제1항에 있어서,
    상기 워크피스를 300℃ 정도의 온도로 가열하는 단계를 더 포함하는, 워크피스의 후면에서 폴리머를 제거하기 위한 방법.
  4. 제2항에 있어서,
    상기 간극과 상기 상부 프로세스 존 높이는 모두 약 2mm인, 워크피스의 후면에서 폴리머를 제거하기 위한 방법.
  5. 제1항에 있어서,
    상기 폴리머 식각 종은 산소 가스를 포함하는, 워크피스의 후면에서 폴리머를 제거하기 위한 방법.
  6. 제5항에 있어서,
    상기 세정 가스는 질소 또는 불활성가스를 포함하는, 워크피스의 후면에서 폴리머를 제거하기 위한 방법.
  7. 제5항에 있어서,
    상기 세정 가스는 상기 폴리머 식각 종의 제거제(scavenger)를 포함하는, 워크피스의 후면에서 폴리머를 제거하기 위한 방법.
  8. 제7항에 있어서,
    상기 제거제는 수소 가스를 포함하는, 워크피스의 후면에서 폴리머를 제거하기 위한 방법.
  9. 제7항에 있어서,
    상기 제거제는 일산화탄소를 포함하는, 워크피스의 후면에서 폴리머를 제거하기 위한 방법.
  10. 제7항에 있어서,
    상기 제거제는, 상기 폴리머 식각 종과의 반응 생성물들이 상기 폴리머 식각 종보다 울트라 로우-K(ultra low-K) 막과 덜 반응적인 종을 포함하는, 워크피스의 후면에서 폴리머를 제거하기 위한 방법.
  11. 제2항에 있어서,
    상기 상부 프로세스 존 높이는 상기 상부 프로세스 존 내의 가스의 잔류시간을 상기 하부 프로세스 존 내의 가스 잔류시간의 1% 내지 5% 보다 작도록 제한할 만큼 충분히 작은, 워크피스의 후면에서 폴리머를 제거하기 위한 방법.
  12. 제1항에 있어서,
    상기 상부 프로세스 존으로 상기 비반응성 세정 가스를 펌핑하는 단계는, 상기 상부 프로세스 존 내의 종의 잔류시간을 상기 하부 프로세스 존 내의 가스 잔류시간의 1% 내지 5% 보다 작도록 제한할 만큼 충분히 높은 유속으로 상기 세정 가스를 펌핑하는 단계를 포함하는, 워크피스의 후면에서 폴리머를 제거하기 위한 방법.
  13. 제1항에 있어서,
    상기 제한하는 단계는 (a) 상기 웨이퍼 에지와 상기 챔버 측벽 간의 상기 간극을 결정하거나, (b) 상기 웨이퍼 에지와 상기 웨이퍼 주위의 링 간의 상기 간극을 결정하는 것 중 하나에 의해 수행되는, 워크피스의 후면에서 폴리머를 제거하기 위한 방법.
  14. 제1항에 있어서,
    상기 외부 플라즈마 소스의 상기 플라즈마로부터의 상기 플라즈마 부산물들의 흐름을 상기 후면 아래의 축방향 도관(axial conduit)들을 통해 지향(directing)시키는 단계; 및
    상기 도관들로부터의 상기 부산물들을 상기 워크피스의 상기 후면 에지로 겨냥된 노즐들을 통해 지향시키는 단계
    를 더 포함하는, 워크피스의 후면에서 폴리머를 제거하기 위한 방법.
  15. 워크피스의 후면에서 폴리머를 제거하기 위한 방법으로서,
    상기 후면의 주변 환상부가 노출되도록 하면서 진공 챔버 내에서 상기 후면 상에서 상기 워크피스를 지지하는 단계;
    상기 워크피스 지격의 1% 미만인 상부 프로세스 존의 높이를 형성하기 위해서 상기 워크피스의 전면을 상기 챔버의 천장까지로 제한하는 단계 - 상기 상부 프로세스 존은 상기 챔버의 상기 천장과 상기 웨이퍼 사이인 상기 챔버의 일부에 해당함 - ;
    상기 챔버의 하부 프로세스 존을 배기하는 단계 - 상기 하부 프로세스 존은 상기 상부 프로세스 존 반대쪽 상기 웨이퍼의 면(side) 상의 상기 챔버의 일부에 해당함 - ;
    폴리머 식각 전구 가스로부터 외부 챔버 내에서 플라즈마를 생성하고, 상기 웨이퍼 에지에 인접하여 종단되고 상기 웨이퍼 에지를 겨냥한 하나 이상의 도관들을 통해 상기 플라즈마로부터의 부산물을 상기 하부 프로세스 존으로 제한하는 단계; 및
    세정 가스를 상기 상부 프로세스 존으로 펌핑함으로써 상기 상부 프로세스 존에서 상기 폴리머 식각 종을 제거하는 단계
    를 포함하는 워크피스의 후면에서 폴리머를 제거하기 위한 방법.
KR1020080009745A 2007-01-30 2008-01-30 웨이퍼 전면 가스 정화와 함께 웨이퍼 후면 폴리머 제거를 위한 프로세스 KR101025231B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US89864507P 2007-01-30 2007-01-30
US60/898,645 2007-01-30
US11/685,766 US20080179287A1 (en) 2007-01-30 2007-03-14 Process for wafer backside polymer removal with wafer front side gas purge
US11/685,766 2007-03-14

Publications (2)

Publication Number Publication Date
KR20080071524A true KR20080071524A (ko) 2008-08-04
KR101025231B1 KR101025231B1 (ko) 2011-04-01

Family

ID=39402586

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080009745A KR101025231B1 (ko) 2007-01-30 2008-01-30 웨이퍼 전면 가스 정화와 함께 웨이퍼 후면 폴리머 제거를 위한 프로세스

Country Status (6)

Country Link
US (1) US20080179287A1 (ko)
EP (1) EP1953803A2 (ko)
JP (1) JP2008199010A (ko)
KR (1) KR101025231B1 (ko)
SG (1) SG144878A1 (ko)
TW (1) TW200842974A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140009370A (ko) * 2011-02-15 2014-01-22 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
KR20170129505A (ko) * 2016-05-17 2017-11-27 (주)티티에스 기판 처리 장치 및 이를 이용한 플라즈마 처리 방법

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4705816B2 (ja) 2005-07-27 2011-06-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8083963B2 (en) * 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US8721836B2 (en) * 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
KR101886740B1 (ko) * 2011-11-01 2018-09-11 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
US20150020848A1 (en) * 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US9460915B2 (en) 2014-09-12 2016-10-04 Lam Research Corporation Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
TWI582886B (zh) * 2016-01-12 2017-05-11 弘塑科技股份有限公司 單晶圓溼式處理裝置
JP2021509525A (ja) * 2017-12-27 2021-03-25 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. プラズマ処理装置および方法
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
CN113658891A (zh) * 2021-08-19 2021-11-16 上海稷以科技有限公司 一种晶圆加工装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6074626A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
JPH0562940A (ja) * 1991-09-03 1993-03-12 Sony Corp 矩形基板のドライエツチング装置
JPH06188229A (ja) * 1992-12-16 1994-07-08 Tokyo Electron Yamanashi Kk エッチングの後処理方法
TW299559B (ko) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
JP3515176B2 (ja) * 1994-07-27 2004-04-05 芝浦メカトロニクス株式会社 ドライエッチング方法および装置
JPH08195382A (ja) * 1995-01-17 1996-07-30 Hitachi Ltd 半導体製造装置
JP3942672B2 (ja) * 1996-04-12 2007-07-11 キヤノンアネルバ株式会社 基板処理方法および基板処理装置
US5914278A (en) * 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
US6098637A (en) * 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
JP2000021598A (ja) * 1998-07-02 2000-01-21 Sony Corp プラズマ処理装置
JP2003007674A (ja) * 2001-06-19 2003-01-10 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US6805137B2 (en) * 2001-08-27 2004-10-19 Applied Materials, Inc. Method for removing contamination particles from substrates
US6936546B2 (en) * 2002-04-26 2005-08-30 Accretech Usa, Inc. Apparatus for shaping thin films in the near-edge regions of in-process semiconductor substrates
US20040137745A1 (en) * 2003-01-10 2004-07-15 International Business Machines Corporation Method and apparatus for removing backside edge polymer
JP4656364B2 (ja) * 2003-03-13 2011-03-23 東京エレクトロン株式会社 プラズマ処理方法
US7170190B1 (en) * 2003-12-16 2007-01-30 Lam Research Corporation Apparatus for oscillating a head and methods for implementing the same
JP4467367B2 (ja) * 2004-06-22 2010-05-26 大日本スクリーン製造株式会社 基板反転装置、基板搬送装置、基板処理装置、基板反転方法、基板搬送方法および基板処理方法
US7404874B2 (en) * 2004-06-28 2008-07-29 International Business Machines Corporation Method and apparatus for treating wafer edge region with toroidal plasma
US7718009B2 (en) * 2004-08-30 2010-05-18 Applied Materials, Inc. Cleaning submicron structures on a semiconductor wafer surface
JP4616605B2 (ja) * 2004-09-27 2011-01-19 東京エレクトロン株式会社 プラズマ処理方法、プラズマ処理装置及び記憶媒体
US7198677B2 (en) * 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
JP2006319043A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置
US7276447B1 (en) * 2006-04-11 2007-10-02 Applied Materials, Inc. Plasma dielectric etch process including ex-situ backside polymer removal for low-dielectric constant material
US7700479B2 (en) * 2006-11-06 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning processes in the formation of integrated circuit interconnect structures

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140009370A (ko) * 2011-02-15 2014-01-22 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
KR20170129505A (ko) * 2016-05-17 2017-11-27 (주)티티에스 기판 처리 장치 및 이를 이용한 플라즈마 처리 방법

Also Published As

Publication number Publication date
KR101025231B1 (ko) 2011-04-01
US20080179287A1 (en) 2008-07-31
TW200842974A (en) 2008-11-01
SG144878A1 (en) 2008-08-28
JP2008199010A (ja) 2008-08-28
EP1953803A2 (en) 2008-08-06

Similar Documents

Publication Publication Date Title
KR101025231B1 (ko) 웨이퍼 전면 가스 정화와 함께 웨이퍼 후면 폴리머 제거를 위한 프로세스
KR20080099180A (ko) 웨이퍼 후면 폴리머 제거와 웨이퍼 전면 포토레지스트제거를 위한 프로세스
US7552736B2 (en) Process for wafer backside polymer removal with a ring of plasma under the wafer
KR20080071525A (ko) 웨이퍼 후면 폴리머 제거와 웨이퍼 전면 제거제 플라즈마를위한 프로세스
US6335293B1 (en) Systems and methods for two-sided etch of a semiconductor substrate
US20170256393A1 (en) Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
TWI484577B (zh) 適合蝕刻高深寬比特徵結構之蝕刻反應器
US8329593B2 (en) Method and apparatus for removing polymer from the wafer backside and edge
KR100887271B1 (ko) 플라즈마 처리 장치
CN107516626B (zh) 用于原位晶片边缘和背侧等离子体清洁的系统和方法
KR20170000340A (ko) 에칭 방법
KR20210065199A (ko) 하드마스크의 제거를 위한 수증기 기반 불소 함유 플라즈마
KR20170134245A (ko) 플라즈마 처리 방법
JP3946640B2 (ja) プラズマ処理装置およびプラズマ処理方法
KR20190008226A (ko) 에칭 방법
WO1998014636A1 (en) Apparatus for reducing polymer deposition on substrate support
JP2009238837A (ja) プラズマ処理装置及びプラズマ処理方法
JP2023545532A (ja) 粒子制御のためのチャンバ構成及びプロセス
JP2020010001A (ja) クリーニング方法及び基板処理装置
US20010009177A1 (en) Systems and methods for two-sided etch of a semiconductor substrate
JP2007184611A (ja) プラズマ処理装置およびプラズマ処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140227

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee