KR20180094109A - 원격 플라즈마 소스 및 dc 전극을 구비하는 원자 층 에칭 시스템 - Google Patents

원격 플라즈마 소스 및 dc 전극을 구비하는 원자 층 에칭 시스템 Download PDF

Info

Publication number
KR20180094109A
KR20180094109A KR1020187022306A KR20187022306A KR20180094109A KR 20180094109 A KR20180094109 A KR 20180094109A KR 1020187022306 A KR1020187022306 A KR 1020187022306A KR 20187022306 A KR20187022306 A KR 20187022306A KR 20180094109 A KR20180094109 A KR 20180094109A
Authority
KR
South Korea
Prior art keywords
plasma
support assembly
diffuser
substrate support
process region
Prior art date
Application number
KR1020187022306A
Other languages
English (en)
Inventor
루도비치 고뎃
준 쑤
상 기 남
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180094109A publication Critical patent/KR20180094109A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

본 명세서에 설명된 구현예들은 원자 층 에칭(ALE)을 수행하기 위한 장치 및 방법들에 관한 것이다. 펄스화된 플라즈마 발생, 및 플라즈마 잔광에 대한 후속 바이어스 인가는 개선된 ALE 특성들을 제공할 수 있다. 본 명세서에 설명된 장치는 기판으로부터의 재료 제거를 용이하게 하기 위해, 하나 이상의 플라즈마 소스로부터의 플라즈마 발생, 및 플라즈마 잔광의 바이어싱을 제공한다.

Description

원격 플라즈마 소스 및 DC 전극을 구비하는 원자 층 에칭 시스템
본 개시내용의 구현예들은 일반적으로 원자 층 에칭 장치(atomic layer etching apparatus)에 관한 것이다.
무어의 법칙 및 반도체의 지속적인 개발에 따라, 장래의 집적 회로들 내의 디바이스들은 1 원자 층 두께, 및 몇 원자 층 미만의 폭 정도로 작아질 것이라고 예측된다. 현재의 플라즈마 에칭 프로세스들은 일반적으로 첨단 기술 노드들을 위한 정밀한 패턴 전사를 달성하지 못 한다. 이러한 현재의 에칭 기술들은 또한 기판의 기저 층들에 손상을 줄 수 있다. 구체적으로, 전통적인 플라즈마 에칭 기술들은 20nm 미만 구조물의 정밀한 패터닝에 필요한 제어 수준을 갖지 못하고, 펄스화된 가스들을 이용하는 현재의 원자 층 에칭은 집적 회로들의 대용량 제조에 실용적이기에는 지나치게 느리다.
원자 층 에칭(ALE)은 첨단 기술 노드들을 위한 유망한 에칭 기술로서 개발되었다. ALE는 일반적으로 4개의 동작을 포함한다. 첫번째는 기판 표면 상으로의 반응물질 가스의 흡착을 용이하게 하기 위해 청정 기판을 반응물질 가스에 노출시키는 것을 포함하는 화학흡착 동작(chemisorption operation)이다. 두번째로, 후속 단계에서의 가스상 반응물질에 의한 에칭을 방지하기 위해, 과잉 Cl2 가스가 불활성 가스 유동으로 퍼징된다. 세번째로, 화학적 스퍼터링과 같은 반응 단계는, 종종 불활성 가스 플라즈마를 통해, 흡착된 가스와 기저 고체 반응 사이에서 작용된다. 이러한 프로세스는 또한 이온들이 화학흡착된 가스에 본딩된 기판 원자들과만 반응한다는 점에서 자기 제한적일 수 있다.
염소처리된 층이 제거되고 나면, 기판의 물리적 스퍼터링에 의한 추가 에칭은 상당히 감소되거나 제거된다. 마지막으로, 에칭 부산물들을 배기시키기 위해, 반응 챔버의 배기가 이용된다. 제1 동작에서의 화학흡착 및 에칭하는 제3 동작의 주기들이 충분하게 연장된 지속기간들 동안인 경우, 에칭률은 사이클 당 1 원자 층에 접근하고, 여기서 원자 층 두께는 염소처리된 층의 두께이지만, 반드시 기판의 하나의 단분자층(monolayer)은 아니다. 추가로, ALE 사이클링 동안 기판 표면이 거의 원자적으로 평활하게(nearly-atomically smooth) 남아있는 경우, 사이클 당 실질적으로 하나의 기판 단분자층이라는 이상적인 제거 조건들을 달성하는 것이 가능하다.
ALE에 대한 최근의 개발들은 에칭 성능을 더 개선했다. 예를 들어, 일정한 가스 유동들 및 그와 유사한 것은 잠재적으로 상업적으로 실현가능한 ALE 에칭 프로세스들을 달성하기 위해 에칭률을 개선했다. 그러나, 새로운 ALE 진보들에도 불구하고, 여전히 문제들이 존재한다. 예를 들어, 광 보조 에칭(photo-assisted etching)(PAE)은 플라즈마로부터 방출되는 광자들이 ALE 프로세스를 수행하는 데에 이용되는 것의 결과로서, 기판의 바람직하지 않은 추가 에칭 또는 포토레지스트의 열화가 발생하는 현상이다. 그러한 것으로서, 광자들에의 노출 시에, 바람직하지 않은 마이크로트렌치들이 형성될 수 있고, 이는 첨단 기술 노드들에서 디바이스 고장에 기여할 수 있고 디바이스 수율을 감소시킬 수 있다.
따라서, 본 기술분야에서는 ALE 프로세스들을 위한 개선된 장치가 필요하다.
일 구현예에서, 처리 챔버 장치가 제공된다. 장치는 제1 플라즈마를 발생시키도록 구성된 플레이트 스택(plate stack); 플레이트 스택에 대향하여 배치된 기판 지지 어셈블리; 및 플레이트 스택과 기판 지지 어셈블리 사이에 정의된 프로세스 영역을 포함한다. 프로세스 영역은 제2 플라즈마의 발생을 유지하도록 구성될 수 있고, 원격 플라즈마 소스가 또한 프로세스 영역에 유체 결합될 수 있다.
다른 구현예에서, 처리 챔버 장치가 제공된다. 장치는 제1 플라즈마를 발생시키도록 구성된 플레이트 스택을 포함하고, 플레이트 스택은 제1 확산기, 면판(face plate), 세라믹 링, 제2 확산기, 가스 분배 디바이스, 및 플라즈마 차단 스크린을 포함한다. 기판 지지 어셈블리는 플레이트 스택에 대향하여 배치되고, 플레이트 스택과 기판 지지 어셈블리 사이에 정의된 프로세스 영역은 제2 플라즈마의 발생을 유지하도록 구성된다. 원격 플라즈마 소스는 또한 프로세스 영역에 유체 결합된다.
또 다른 구현예에서, 처리 챔버 장치가 제공된다. 장치는 제1 플라즈마를 발생시키도록 구성된 플레이트 스택을 포함하고, 플레이트 스택은 제1 확산기, 면판, 세라믹 링, 제2 확산기, 가스 분배 디바이스, 및 플라즈마 차단 스크린을 포함한다. RF 전극은 제1 확산기 및 면판에 전기적으로 결합되고, 제1 플라즈마는 면판과 제2 확산기 사이에서 발생되는 원격 플라즈마이다. 기판 지지 어셈블리는 플레이트 스택에 대향하여 배치되고, 제2 플라즈마의 발생을 유지하도록 구성된 프로세스 영역은 플레이트 스택과 기판 지지 어셈블리 사이에 정의된다.
위에서 언급된 본 개시내용의 특징들이 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 개시내용의 더 구체적인 설명은 구현예들을 참조할 수 있으며, 그들 중 일부는 첨부 도면들에 도시되어 있다. 그러나, 첨부 도면들은 예시적인 구현예들만을 도시하며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 되며, 동등한 효과의 다른 구현예들을 허용할 수 있다는 점에 주목해야 한다.
도 1은 본 명세서에 설명된 구현예들에 따른 원자 층 에칭 프로세스를 수행하는 방법을 도시한다.
도 2는 본 명세서에 설명되는 구현예들에 따른 처리 챔버의 개략적인 단면도를 도시한다.
이해를 용이하게 하기 위해서, 가능한 경우에, 도면들에 공통인 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 이용되었다. 일 구현예의 요소들 및 특징들은 더 이상의 언급 없이도 다른 구현예들에 유리하게 포함될 수 있을 것으로 생각된다.
본 명세서에 설명된 구현예들은 원자 층 에칭(ALE)을 수행하기 위한 장치 및 방법들에 관한 것이다. 펄스화된 플라즈마 발생, 및 플라즈마 잔광(plasma afterglow)에 대한 후속 바이어스 인가는 개선된 ALE 특성들을 제공할 수 있다. 본 명세서에 설명된 장치는 기판으로부터의 재료 제거를 용이하게 하기 위해, 하나 이상의 플라즈마 소스로부터의 플라즈마 발생, 및 플라즈마 잔광의 바이어싱을 제공한다.
도 1은 본 개시내용의 구현예에 따른 원자 층 에칭(ALE) 프로세스(100)를 도시한다. 도 1의 최상부는 ALE 프로세스(100)를 도시하는 반면, 도 1의 최하부는 프로세스 파라미터를 도시한다. ALE 프로세스(100)는 2개의 동작, 즉 흡착 동작(102) 및 에칭 동작(104)을 포함한다. 흡착 동작(102) 동안, 기판은 흡착질(adsorbate)에 노출될 수 있고, 그에 의해 흡착질은 기판의 표면 상에 흡착될 수 있게 된다. 일부 구현예들에서, 흡착질은 반응물질일 수 있다. 예를 들어, 흡착질은 홀전자들(unpaired electrons) 또는 단글링 본드들을 갖는 분리된 반응물질 분자들 또는 분리된 반응물질 원자들을 포함할 수 있다. 반응물질은 불소(F), 염소(Cl), 브롬(Br), 또는 요오드(I)와 같은 할로겐들을 포함할 수 있지만, 그에 제한되지 않는다. 수소(H2) 및 산소(O2)와 같은 비-할로겐 재료들이 또한 특정 구현예들에서 이용될 수 있다. 일 구현예에서, 반응물질은 염소 반응물질 가스(Cl2)로부터 유도된 해리된 염소(Cl) 원자들일 수 있다. 다른 할로겐들, 할로겐화된 종들, 또는 다른 반응물질들이 또한 흡착질에서 이용될 수 있음이 예상된다. 대안적인 구현예들에서, 완전한 또는 분해되지 않은 반응물질이 또한 기판 상에서 흡착질로서 이용될 수 있다. 적절한 흡착질 전구체들은 다른 것들 중에서도 특히 CF4, CHF3, BIO3, BI3, BCl3, H2, 및 O2를 포함하지만, 그에 제한되지 않는다. 본 명세서에서 이용될 때, "가스"라는 용어는 실온에서, 또는 표준 온도 및 압력에서 고체 또는 액체 상태로 물질로부터 발생되는 증기를 포함하지만, 그에 제한되지 않는다.
흡착질은 반응물질들을 포함하는 플라즈마를 발생시킴으로써 획득될 수 있다. 일 구현예에서, 불활성 가스는 반응물질과 함께 이온화될 수 있다. 제한은 아니지만, 결과적인 플라즈마는 반응물질들, 반응물질 가스 이온들, 및 불활성 가스 이온들을 포함할 수 있다. 일 구현예에서, 아르곤(Ar)은 불활성 가스로서 이용된다. 임의의 희가스 종들 또는 다른 불활성 가스 종들이 또한 이용될 수 있음이 예상된다.
특정 구현예들에서, 반응물질이 불활성 가스를 이용하여 이온화되는 경우, 반응물질 가스의 농도는 용적의 약 0.01% 내지 약 20%일 수 있고; 대안적으로, 반응물질 가스 농도는 약 0.01% 내지 약 15%일 수 있고; 특정 구현예들에서, 반응물질 가스 농도는 조합된 가스의 용적의 약 0.01% 내지 약 10%일 수 있다. 일 구현예에서, 반응물질 가스는 용적의 약 1% 미만의 농도를 포함할 수 있다. 제한은 아니지만, 발생된 플라즈마는 Ar 종들, 및 Cl 반응물질 가스 종들의 작은 부분을 포함할 수 있다.
특정 구현예들에서, 반응물질을 발생시키기 위해 플라즈마 소스가 이용된다. 제한이 아닌 예시적인 플라즈마 소스들은 유도성 결합된 플라즈마(ICP) 소스들, 용량성 결합된 플라즈마(CCP) 소스들, 헬리콘 소스들, 마이크로웨이브 소스들, 및 원격 플라즈마 소스들(RPS)을 포함할 수 있다. 특정 구현예들에서, 플라즈마 소스는 원격 플라즈마 소스이다. 동작 시에, 원격 플라즈마 소스는 흡착 동작(102) 동안 RF 전력을 공급받을 수 있다. 방법(100)을 수행하기 위한 적절한 장치는 도 2에 관련하여 더 상세하게 설명된다.
특정 구현예들에서, 전체 흡착 동작(102)을 통해 플라즈마 소스는 전력을 공급받지 않는다. 예를 들어, 플라즈마 소스에 인가되는 RF 전력은 흡착 스테이지(102)의 후기 부분 동안 하강될 수 있다. 제한이 아닌 예들에서, 플라즈마 소스는 흡착 동작(102)의 시작 부분 동안 RF 전력을 공급받을 수 있다. 동작(102)의 후기 부분 동안, 더 낮은 전력이 플라즈마 소스에 인가될 수 있거나, 플라즈마 소스는 잔광을 제공하도록 턴오프될 수 있다. 대안적으로, 플라즈마 소스는 전체 흡착 동작(102) 내내 연속적으로 전력을 공급받을 수 있다.
이론에 의해 구속되도록 의도하지 않고서, 흡착 프로세스는 본 명세서에 설명된 것과 같이 발생할 수 있다. 패시베이팅 층(passivating layer) 없이 청정 표면을 포함하는 기판은 홀전자 또는 단글링 본드들을 포함할 수 있다. 다음으로, 기판 표면 부근의 플라즈마로부터의 반응물질들은 예를 들어 화학흡착을 통해 표면의 단글링 본드들과 본딩하여 생성물 층을 형성할 수 있다. 예를 들어, 생성물 층은 반응물질들의 단분자층, 및 회합되는(associated) 기판 원자들의 단분자층을 포함할 수 있다. 더 구체적으로, Cl 반응물질들은 SiClx를 포함하는 생성물 층을 형성하도록 예시적인 실리콘(Si) 기판의 표면 상에 흡착되고, 여기서 x는 1 내지 4이며, 생성물 층은 알려진 생성물들 및 이온 종들을 포함할 수 있다. 또한, 특정 경우들에서, 생성물 층은 반응물질 종들인 Cl 원자들의 단분자층, 및 Si 원자들의 단분자층을 포함할 수 있다. 기판 표면이 반응물질들로 포화될 때까지 흡착이 계속될 수 있다. 제한은 아니지만, 포화는 홀전자들 또는 단글링 본드들과 같은 이용가능한 기판 표면 부위들의 실질적으로 전부가 반응물질들로 점유되거나 반응물질들과 회합될 때 달성된다. 특정 경우들에서, 기판 표면의 일부분은 반응물질들로 커버되지 않는다. 예를 들어, 기판 표면의 일부는, 제한적인 것은 아니지만 산화물 층과 같은 패시베이팅 층을 포함할 수 있다. 제한이 아닌 예들에서, 패시베이팅 층은 이용가능한 부위들, 이용가능한 홀전자들, 또는 단글링 본드들을 포함하지 않을 수 있고, 그러한 것으로서 반응물질들로 커버되지 않는다. 특정 구현예들에서, 기판 표면은 생성물 층 내에서 적어도 부분적으로는 화학흡착된 반응물질들로 커버되고 적어도 부분적으로는 패시베이팅 층으로 커버된다.
특정 구현예들에서, 흡착 동작(102) 동안, 반응물질 가스 이온들 및/또는 불활성 가스 이온들이 플라즈마 내에 존재할 수 있고, 그에 의해 생성물 층을 포함하는 기판 표면이 이온들에 노출되게 된다. 기판에 충격을 가하는 이온들의 에너지(즉, 플라즈마 전위)는 요구되지 않는 에칭, 물리적 또는 화학적 스퍼터링을 회피하거나 최소화하도록 선택적으로 제어될 수 있다. 예를 들어, Si를 에칭하기 위해 Cl 이온들이 필요로 하는 에너지는 약 25eV보다 작을 수 있는 반면, 스퍼터링을 야기하기 위해 Ar 이온들이 필요로 하는 에너지는 약 30eV 내지 약 60eV일 수 있다. 특정 구현예들에서, 흡착 동작(102) 동안 기판에 충격을 가하는 이온들의 에너지는 약 15eV 이하로 제어될 수 있다. 요구되지 않는 에칭, 물리적 또는 화학적 스퍼터링을 최소화하기 위해, 플라즈마 소스의 정전 실드(예를 들어, 패러데이 실드)를 제공함으로써, 및/또는 비교적 높은 압력, 예를 들어 약 50 mTorr 미만의 압력 하에서 프로세스를 수행함으로써, 이온 에너지가 제어될 수 있다.
흡착 동작(102)의 완료 후에, 에칭 동작(104)이 수행될 수 있다. 에칭 동작(104) 동안, 이온들은 생성물 층(product layer)을 제거하기 위해 기판에 충격을 가할 수 있다. 특정 구현예들에서, 이온들은 양전하를 띄는 이온들 또는 음전하를 띄는 이온들을 포함한다. 일 구현예에서, 생성물 층을 제거하기 위해, 양전하를 띈 이온들이 이용된다. 에칭 동작(104) 동안 기판에 충격을 가하는 이온들의 에너지는 바람직하게는 화학 보조된 스퍼터링(chemically-assisted sputtering)을 위한 임계치보다는 높지만 물리적 스퍼터링을 위한 임계치보다는 낮을 수 있음이 예상된다. 선택된 에너지를 갖는 이온들은 플라즈마와 기판 사이의 전위 차를 제어함으로써 기판 쪽으로 지향될 수 있다. 양이온들을 기판 쪽으로 지향시키기 위해, 그들 사이의 전위 차는 기판 전위에 대해 플라즈마 전위를 증가시키는 것에 의해, 플라즈마에 대해 기판 전위를 감소시키는 것에 의해, 또는 둘 다에 의해 증가될 수 있다. 음이온들을 지향시키기 위해, 그들 사이의 전위 차는 기판 전위에 대해 플라즈마 전위를 감소시키는 것에 의해, 플라즈마에 대해 기판 전위를 증가시키는 것에 의해, 또는 둘 다에 의해 증가될 수 있다. 에칭 동작(104) 동안, 양 또는 음의 DC 또는 RF 바이어스가 플라즈마 및/또는 기판에 인가될 수 있다. 추가로, 플라즈마 및/또는 기판에 연속적인 바이어스가 제공될 수 있다. 대안적으로, 일련의 펄스화된 바이어스가 또한 이용될 수 있다. 펄스화된 바이어스 구현예들에서, DC 바이어스는 약 10㎲(10 마이크로초) 내지 약 500㎲의 시간량 동안 인가될 수 있다.
특정 구현예들에서, 플라즈마 소스는 에칭 동작(104) 동안 RF 전력을 공급받을 수 있다. 예를 들어, 플라즈마 소스는 펄스화된 RF 전력을 제공받을 수 있고, 여기서 각각의 RF 전력 펄스는 위에서 언급된 바이어스 펄스들 사이에서 제공된다. 특정 구현예들에서, RF 전력 펄스는 약 50㎲ 내지 약 200㎲의 시간량 동안 인가될 수 있다. 그와 같이, 일련의 펄스화된 RF 전력이 에칭 동작(104) 동안 플라즈마 소스에 인가될 수 있고, 일련의 펄스화된 DC 또는 RF 바이어스가 플라즈마 및/또는 기판에 인가될 수 있다. 각각의 바이어스 펄스는 RF 전력 펄스들 사이에 제공될 수 있다. 바이어스 펄스는 RF 전력 펄스의 중단 이후에 약 20㎲ 내지 약 200㎲의 시간량 동안 지연될 수 있다. 즉, 바이어스 펄스는 지연될 수 있고, 다음으로 플라즈마 잔광 동안 개시될 수 있다.
플라즈마와 기판 사이의 전위 차를 선택적으로 증가시킴으로써, 본 명세서에 설명된 특정 구현예에서 염소처리된 생성물 층을 포함하는 생성물 층이 제거될 수 있다. 프로세스에서, 생성물에 회합된 기판 원자들의 단분자층이 기판으로부터 동시에 제거될 수 있다. 추가로, 기판 원자들의 추가 층들을 한 번에 한 층씩 제거하기 위해, 흡착 동작(102) 및 에칭 동작(104)이 반복될 수 있다.
도 2는 본 명세서에 설명되는 구현예들에 따른 처리 챔버(200)의 개략적인 단면도를 도시한다. 아래에 논의되는 바와 같이, 처리 챔버(200)는 다양한 처리 동작들 동안 원격 발생된 플라즈마를 또한 발생시키거나 포함할 수 있는 프로세스 영역(212) 및 제1 플라즈마 소스(210)를 포함한다. 도 2의 배향에서, 가스 및/또는 플라즈마 생성물 유동의 대체적인 방향은 하향이고[즉, 기판 지지 어셈블리(218) 쪽을 향함], 이러한 방향은 본 명세서에서 "다운스트림"이라고 지칭될 수 있는 한편, 도 2의 배향에서의 반대 방향인 상향은 "업스트림"이라고 지칭될 수 있다. 본 명세서에서, 반경 방향(207)을 따라 유동하는 가스 및/또는 플라즈마 생성물들은 "교차 유동(cross-flow)"이라고 지칭될 수 있다. 또한, 도 2에 도시된 장치의 중요한 부분들은 중심 축(201)에 대해 원통 대칭일 수 있고, 연관된 방향들은 반경 방향(207) 및 방위각 방향(203)으로 정의될 수 있다. 본 명세서에서는 방향들에 대한 이러한 규약이 이용될 수 있지만, 본 기술분야의 통상의 기술자는 본 명세서에 설명된 원리들 중 다수가 원통 대칭 시스템들에 국한되지 않음을 이해할 것이다.
도 2에 도시된 바와 같이, 제1 플라즈마 소스(210)는 가스들, 및/또는 업스트림 원격 플라즈마 소스에 의해 이온화된 가스들을 플라즈마 소스 가스들[255(1)]로서 RF 전극(215)을 통해 도입할 수 있다. 특정 구현예들에서, 플라즈마 소스 가스들[255(1)]은 도 1에 관련하여 위에서 설명된 불활성 가스들 중 임의의 것일 수 있다. 가스 매니폴드(202)는 RF 전극(215)에 결합될 수 있고/있거나 RF 전극에 인접하여 배치될 수 있다. 프로세스 가스들은 제1 가스 소스(232)로부터 가스 매니폴드(202)에 제공될 수 있다. 제1 가스 소스(232)로부터의 프로세스 가스들은 피드-스루 부재(208)를 통해 가스 매니폴드(202)에 들어갈 수 있다. 일 구현예에서, 피드-스루 부재(208)는 폴리테트라플루오로에틸렌과 같은 폴리머 재료로 형성될 수 있다. 유동 중심맞춤 인서트(flow centering insert)(204)는 가스 유입 튜브(226) 내에서 가스 매니폴드(202)에 인접하여 배치될 수 있다. 유동 중심맞춤 인서트(204)는 내부에 형성된 개구(206)를 갖는 링-유사 장치(ring like apparatus)일 수 있다. 개구(206)는 인서트(204)의 중심을 통해 형성될 수 있고, 개구(206)는 단일 애퍼쳐일 수 있거나 복수의 애퍼쳐일 수 있다. 단일 애퍼쳐 구현예에서, 개구(206)의 직경은 약 0.125 인치일 수 있다. 유동 중심맞춤 인서트(204)는 처리 챔버(200) 내에서 프로세스 가스들의 동심 유동 분포를 개선할 수 있다.
RF 전극(215)은 소스 가스들의 유동을 재지향시켜서 가스 유동이 제1 플라즈마 소스(210)에 걸쳐 균일(도 2의 관점에서 좌측으로부터 우측까지 균일)하게 하는 역할을 하는 면판(225) 및 제1 가스 확산기(220)에 전기적으로 결합될 수 있다. 이하에 설명되는 확산기들 또는 스크린들 전부는 전극들로서 특징지어질 수 있음에 유의해야 하는데, 왜냐하면 임의의 그러한 확산기들 또는 스크린들은 특정 전위에 연계될 수 있기 때문이다. 절연체(230)는 면판(225)을 포함하는 RF 전극(215)을 전기 접지로 유지되는 제2 확산기(235)로부터 전기적으로 절연한다. 제2 확산기(235)는 RF 전극(215)의 면판(225)의 반대를 향하는 제2 전극의 역할을 한다.
면판(225), 제2 확산기(235), 및 절연체(230)의 표면들은 제1 플라즈마 발생 공동을 정의하고, 그러한 제1 플라즈마 발생 공동에서, 제1 플라즈마(245)(즉, 제1 원격 플라즈마)는 플라즈마 소스 가스들이 존재하고 RF 에너지가 RF 전극(215)을 통해 면판(225)에 제공될 때 생성될 수 있다. RF 전극(215), 면판(225), 및 제2 확산기(235)는 임의의 전도체로 형성될 수 있고, 구현예들에서는, 알루미늄(또는 공지된 "6061" 합금 타입과 같은 알루미늄 합금)으로 형성된다.
제1 플라즈마(245)에 직접 면하는 면판(225) 및 제2 확산기(235)의 표면들은 플라즈마(245) 내에 발생되는 활성 플라즈마 생성물들에 의한 충격에 대한 저항성을 위해 예를 들어 이트리아(Y2O3) 또는 알루미나(Al2O3)의 세라믹 층들로 코팅될 수 있다. 세라믹 코팅은 전자 빔 코팅 프로세스, 양극산화 프로세스(anodization process), 및/또는 비-공극 양극산화 프로세스(non-pore anodization process)에 의해 형성될 수 있다. 다른 적절한 코팅들은 예를 들어 농축된 HNO3 용액에의 노출에 의한 표면 산화 프로세스들, 및 니켈 도금 코팅들을 포함한다. 플라즈마에 반드시 직접 노출되지는 않지만 플라즈마들에 의해 발생되는 반응성 가스들 및/또는 라디칼들에 노출되는 면판(225) 및 제2 확산기(235)의 다른 표면들은 화학적 저항성을 위해 세라믹 층들(예를 들어, 이트리아, 알루미나)로, 또는 적절한 패시베이팅 층(예를 들어, 양극산화된 층, 또는 화학적으로 발생된 알루미나 층)으로 코팅될 수 있다. 절연체(230)는 임의의 절연체일 수 있고, 특정 구현예들에서는 세라믹 재료로 형성된다.
제1 플라즈마(245) 내에서 발생된 플라즈마 생성물들은 플라즈마 생성물들의 균일한 분산을 촉진하는 것을 다시 돕고 전자 온도 제어에 도움을 줄 수 있는 제2 확산기(235)를 통과한다. 제2 확산기(235)를 통과하면, 플라즈마 생성물들은 균일성을 촉진하는 가스 분배 디바이스(260)를 통과한다. 가스 분배 디바이스(260)는 또한 전기 접지로 유지된다. 가스 분배 디바이스(260)를 완전하게 관통하는 애퍼쳐들은 일반적으로 제2 확산기(235) 내의 애퍼쳐들의 직경의 적어도 3배인 직경을 갖는다. 또한, 가스 분배 디바이스(260)는 플라즈마 생성물들이 프로세스 영역(212)에 진입할 때, 하나 이상의 가스[255(2)]를 플라즈마 생성물들에 도입하기 위해 이용될 수 있는 추가 가스 채널들(250)을 포함한다[즉, 가스들[255(2)]은 가스 분배 디바이스(260) 중 제2 확산기(235)로부터 멀리 떨어진 쪽으로부터만 나온다]. 가스들[255(2)]은 제2 가스 소스(도시되지 않음)로부터 제공될 수 있다. 특정 구현예들에서, 가스들[255(2)]은 도 1에 관련하여 위에서 설명된 흡착질 또는 반응물질 가스들일 수 있다. 가스 분배 디바이스(260)는 또한 알루미늄 또는 알루미늄 합금으로 이루어질 수 있고, 위에서 논의된 면판(225) 및 제2 확산기(235)와 마찬가지로, 화학적 저항성을 위해 패시베이팅 층으로 적어도 코팅될 수 있거나, 세라믹 층으로 코팅될 수 있다.
가열 요소(262)는 또한 처리 챔버(200) 내에 배치될 수 있다. 가열 요소(262)는 저항성 가열기 또는 그와 유사한 것과 같은 나선 형상 가열기일 수 있다. 가열 요소(262)는 도시된 바와 같이 가스 분배 디바이스(260) 내에 형성된 홈 내에 배치될 수 있거나, 제2 확산기(235) 내에 형성된 홈 내에 배치될 수 있다. 대안적으로, 가열 요소(262)는 플라즈마 차단 스크린(270)을 향하여 가스 분배 디바이스(260) 내에 형성된 홈 내에 배치될 수 있다. 다른 구현예에서, 가열 요소(262)는 가스 분배 디바이스(260)를 향하여 플라즈마 차단 스크린(270) 내에 형성된 홈 내에 배치될 수 있다. 가열 요소(262)는 처리 챔버(200)에 걸친 대칭적 열 분포를 개선하고, 제1 플라즈마(245) 및/또는 플라즈마 생성물들(즉, 라디칼들)의 유지를 용이하게 하도록 구성될 수 있다. 일반적으로, 플레이트들, 확산기들, 및/또는 샤워헤드들(220, 225, 230, 235, 260, 270) 각각은 집합적으로 플레이트 스택(209)이라고 지칭될 수 있다. 플레이트 스택(209)은 일반적으로 RF 전극(215)과, 반대의 기판 지지 어셈블리(218) 사이에서 처리 챔버(200) 내에 배치된다.
제1 플라즈마(245)로부터의 가스들[255(1), 255(2)] 및/또는 플라즈마 생성물들은 플레넘 공동(265)에 들어간 다음, 플라즈마 차단 스크린(270)을 통해 프로세스 영역(212)으로 간다. 플라즈마 차단 스크린(270)은 약 0.01 인치 내지 약 1.0 인치 범위의 두께를 가질 수 있고, 업스트림 소스들로부터의 가스들 및 플라즈마 생성물들이 프로세스 영역(212) 내로 통과하는 것을 허용하도록 구성된 다수의 작은 애퍼쳐들이 내부에 형성될 수 있다. 플라즈마 차단 스크린(270)의 애퍼쳐들은 일반적으로 고 종횡비 홀들이고, 애퍼쳐들의 홀 직경은 약 0.01 인치 내지 약 0.25 인치일 수 있다. 아래에 상세하게 논의되는 바와 같이, 플라즈마 차단 스크린(270)은 업스트림 컴포넌트들로부터의 플라즈마 생성물들 및 다운스트림 플라즈마들을 실질적으로 차단한다. 구현예들에서, 플라즈마 차단 스크린(270)은 유리하게는 중심 영역 내에서 제곱 인치 당 적어도 10개의 애퍼쳐를 형성할 수 있고, 특정 구현예들에서는 제곱 인치 당 30개 이상의 애퍼쳐를 형성할 수 있다. 일 구현예에서, 기판 지지 어셈블리(218)가 처리 위치에 배치될 때, 플라즈마 차단 스크린은 기판 지지 어셈블리(218)로부터 약 0.5cm 내지 약 4cm의 거리에 배치될 수 있다. 플라즈마 차단 스크린(270)과 기판 지지 어셈블리(218) 사이의 비교적 작은 거리는 프로세스 영역(212)의 용적을 감소시킨다. 프로세스 영역(212)의 용적을 감소시킴으로써, 처리 챔버(200)에서 수행되는 프로세스들은 더 경제적으로 수행될 수 있고, 프로세스 영역들(212)의 처리 조건들은 더 효율적이고 신속하게 변경될 수 있다.
가스 분배 디바이스(260)와 마찬가지로, 플라즈마 차단 스크린(270)은 또한 전기 접지로 유지된다. 위에서 논의된 면판(225) 및 제2 확산기(235)와 마찬가지로, 플라즈마에 직접 노출되는 플라즈마 차단 스크린(270)의 표면들은 유리하게는 세라믹(예를 들어, 알루미나 또는 이트리아)으로 코팅되는 한편, 플라즈마에 직접 노출되지 않는 표면들은 또한 세라믹으로 코팅될 수 있고, 유리하게는 반응성 가스들 및 활성화된 종들에 대한 화학적 저항성을 위해 패시베이팅 층으로 적어도 코팅된다. 일 구현예에서, 코팅이 손상되거나 감소된 효율로 동작하는 경우의 코팅의 효율적인 교체를 가능하게 하고, 결함(defectivity)을 감소시키기 위해, 실리콘 재료를 포함하는 분리가능한 코팅이 플라즈마 차단 스크린(270) 상에 배치될 수 있다.
위에서 설명된 바와 같이 생성된 가스들 및/또는 플라즈마 생성물들 전부가 프로세스 영역(212) 내에 배치될 수 있는 기판(216)과 상호작용하고, 제2 플라즈마(275)(즉, 직접 플라즈마)가 프로세스 영역(212) 내에서 발생될 수 있다. 플라즈마가 프로세스 영역(212) 내에 요구되는 경우, 제2 확산기(235)는 전기 접지로 유지되므로, 제2 플라즈마(275)를 생성하기 위한 RF 전력은 기판 지지체 어셈블리(218)에 적용된다. 가스들의 유동에 의존하여, 흡착질/반응물질 또는 불활성 가스 플라즈마들이 제2 플라즈마(275)로서 발생될 수 있다. 흡착질/반응물질 가스들 및 불활성 가스들의 유동, 및 후속하는 플라즈마 발생을 교번시키면 방법(100)을 가능하게 할 수 있음이 예상된다. 일 구현예에서, 제1 플라즈마(245)는 불활성 가스 플라즈마를 포함할 수 있고, 제2 플라즈마(275)는 흡착질/반응물질 가스 플라즈마를 포함할 수 있다.
또한, 기판(216)의 방향성(이방성) ALE를 용이하게 하기 위해, 제1 또는 제2 플라즈마(245, 275) 내에서 발생된 이온들을 조종하도록 DC 바이어스가 기판 지지 어셈블리(218)에 인가될 수 있다. 기판 지지 어셈블리(218)는 처리 동안 그 위에 기판(216)을 고정하기 위한 정전 척을 또한 포함할 수 있다. 다른 구현예에서, 바이어스 링(272)은 기판 지지 어셈블리(218)에 인접하게 처리 챔버(200)에 결합될 수 있다. 바이어스 링(272)은 프로세스 영역(212) 내에 배치된 기판(216)을 향해 플라즈마 또는 플라즈마 잔광의 바이어싱을 제공하는 임의의 기하형상으로, 임의의 재료로 이루어질 수 있다. 플라즈마 및/또는 바이어스 전위를 선택된 시간들에서는 프로세스 영역(212) 내에서 발생시키고 다른 시간들에서는 발생시키지 않기 위해, 기판 지지체 어셈블리(218) 및/또는 바이어스 링(272)은 RF 및/또는 DC 바이어스 소스(274)와 스위칭가능하게 연결될 수 있다. 기판 지지 어셈블리(218)는 면판(225)과 제2 확산기(235) 사이에 제1 플라즈마(245)를 생성하기 위해 이용되는 것과 동일한 RF 전력 공급부와 연결될 수 있거나, 다른 RF 전력 공급부와 연결될 수 있다.
특정 구현예들에서, 원격 플라즈마 소스(276)는 프로세스 영역(212)과 유체 결합될 수 있다. 도시되지는 않지만, 원격 플라즈마 소스(276)는 제3 가스 소스(또는 발생될 플라즈마의 요구되는 타입에 의존하여 제2 가스 소스)에 결합될 수 있다. 이러한 구현예에서, 제3 플라즈마는 프로세스 영역(212)으로부터 원격으로 발생되어 프로세스 영역(212)에 전달될 수 있다. 예시를 목적으로, [원격 플라즈마 소스(276)에서 발생된] 제3 플라즈마는 제2 플라즈마(275)와 동일한 영역을 점유할 수 있다. 그러나, 제3 플라즈마는 반경 방향(207)을 따라 프로세스 영역(212)을 통해, 프로세스 영역(212)에 유체 결합된 배기부까지 교차 유동될 수 있다. 일 구현예에서, 배기부(278)는 원격 플라즈마 소스(276)에 대향하여 프로세스 영역(212)에 결합될 수 있다. 일 구현예에서, 흡착질/반응물질 가스들은 제3 플라즈마 내로 원격으로 발생되어 프로세스 영역(212)에 전달될 수 있다. 일 구현예에서, 제2 플라즈마(275), 및 원격 플라즈마 소스(276)에 의해 발생되는 제3 플라즈마 둘 다는 흡착질/반응물질 플라즈마 또는 플라즈마 생성물들을 발생시키기 위해 이용될 수 있다. 대안적으로, 제2 플라즈마(275) 또는 원격 플라즈마 소스(276)에 의해 발생되는 제3 플라즈마 중 하나가 흡착질/반응물질 플라즈마 또는 플라즈마 생성물들을 발생시키기 위해 이용될 수 있다.
동작 시에, 흡착질/반응물질 플라즈마 및/또는 플라즈마 생성물들과의 기판 표면 활성 부위 반응들 및 노출 균일성을 개선하기 위해, 기판(216)을 제3 플라즈마/플라즈마 생성물들에 노출시키는 동안 기판 지지 어셈블리(218)가 회전될 수 있다. 흡착질/반응물질 플라즈마 또는 플라즈마 생성물들을 프로세스 영역(212)으로부터 떨어져서 발생시킴으로써, 바람직하지 않은 광 보조 에칭(photo assisted etching)(PAE)이 감소되거나 제거될 수 있을 것으로 여겨진다. 원격 플라즈마 발생은 프로세스 영역(212) 내에서 기판(216)이 "보는" 제3 플라즈마 또는 플라즈마 생성물들 내에 존재하는 광자들의 존재를 감소시키거나 제거할 수 있음이 예상된다. 따라서, PAE의 해로운 영향 없이 ALE 프로세스들이 가능해질 수 있다.
상술한 것은 본 개시내용의 구현예들에 관한 것이지만, 본 개시내용의 다른 구현예들 및 추가의 구현예들은 그것의 기본 범위로부터 벗어나지 않고서 만들어질 수 있으며, 그것의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 처리 챔버 장치로서,
    제1 플라즈마를 발생시키도록 구성된 플레이트 스택(plate stack);
    상기 플레이트 스택에 대향하여 배치된 기판 지지 어셈블리;
    상기 플레이트 스택과 상기 기판 지지 어셈블리 사이에 정의된 프로세스 영역 - 상기 프로세스 영역은 제2 플라즈마의 발생을 유지하도록 구성됨 - ; 및
    상기 프로세스 영역을 통해 플라즈마 생성물들의 교차 유동을 제공하도록 상기 프로세스 영역에 유체 결합된 원격 플라즈마 소스
    를 포함하는 장치.
  2. 제1항에 있어서, 상기 플레이트 스택은,
    제1 확산기;
    면판(faceplate);
    세라믹 링;
    제2 확산기;
    가스 분배 디바이스; 및
    플라즈마 차단 스크린(plasma blocking screen)
    을 포함하는, 장치.
  3. 제2항에 있어서, 상기 제1 플라즈마는 상기 면판과 상기 제2 확산기 사이에서 발생되는 원격 플라즈마인, 장치.
  4. 제2항에 있어서, 상기 제1 확산기, 상기 면판, 상기 세라믹 링, 상기 제2 확산기, 상기 가스 분배 디바이스, 및 상기 플라즈마 차단 스크린 각각은 이트리아 또는 알루미나를 포함하는 세라믹 코팅으로 코팅되는, 장치.
  5. 제2항에 있어서, 상기 기판 지지 어셈블리가 처리 위치에 배치될 때, 상기 플라즈마 차단 스크린은 상기 기판 지지 어셈블리로부터 0.5cm 내지 4.0cm의 거리에 배치되는, 장치.
  6. 제2항에 있어서, 상기 플라즈마 차단 스크린은 0.01인치 내지 1.0인치의 두께를 갖는, 장치.
  7. 제6항에 있어서, 상기 플라즈마 차단 스크린은 애퍼쳐들을 포함하고, 상기 애퍼쳐들은 0.01 인치 내지 0.25인치의 직경을 갖는, 장치.
  8. 처리 챔버 장치로서,
    제1 플라즈마를 발생시키도록 구성된 플레이트 스택 - 상기 플레이트 스택은,
    제1 확산기;
    면판;
    세라믹 링;
    제2 확산기;
    가스 분배 디바이스; 및
    플라즈마 차단 스크린
    을 포함함 - ;
    상기 플레이트 스택에 대향하여 배치된 기판 지지 어셈블리;
    상기 플레이트 스택과 상기 기판 지지 어셈블리 사이에 정의된 프로세스 영역 - 상기 프로세스 영역은 제2 플라즈마의 발생을 유지하도록 구성됨 - ; 및
    상기 프로세스 영역을 통해 플라즈마 생성물들의 교차 유동을 제공하도록 상기 프로세스 영역에 유체 결합된 원격 플라즈마 소스
    를 포함하는 장치.
  9. 제8항에 있어서, 상기 제1 플라즈마는 상기 면판과 상기 제2 확산기 사이에서 발생되는 원격 플라즈마인, 장치.
  10. 제8항에 있어서, 상기 제1 확산기, 상기 면판, 상기 세라믹 링, 상기 제2 확산기, 상기 가스 분배 디바이스, 및 상기 플라즈마 차단 스크린 각각은 이트리아 또는 알루미나를 포함하는 세라믹 코팅으로 코팅되는, 장치.
  11. 제8항에 있어서, 상기 기판 지지 어셈블리가 처리 위치에 배치될 때, 상기 플라즈마 차단 스크린은 상기 기판 지지 어셈블리로부터 0.5cm 내지 4.0cm의 거리에 배치되는, 장치.
  12. 제8항에 있어서, 상기 플라즈마 차단 스크린은 0.01인치 내지 1.0인치의 두께를 갖는, 장치.
  13. 처리 챔버 장치로서,
    제1 플라즈마를 발생시키도록 구성된 플레이트 스택 - 상기 플레이트 스택은,
    제1 확산기;
    면판;
    세라믹 링;
    제2 확산기;
    가스 분배 디바이스; 및
    플라즈마 차단 스크린
    을 포함함 - ;
    상기 제1 확산기 및 상기 면판에 전기적으로 결합된 RF 전극 - 상기 제1 플라즈마는 상기 면판과 상기 제2 확산기 사이에서 발생되는 원격 플라즈마임 - ;
    상기 플레이트 스택에 대향하여 배치된 기판 지지 어셈블리;
    상기 플레이트 스택과 상기 기판 지지 어셈블리 사이에 정의된 프로세스 영역 - 상기 프로세스 영역은 제2 플라즈마의 발생을 유지하도록 구성됨 - ; 및
    상기 프로세스 영역을 통해 플라즈마 생성물들의 교차 유동을 제공하도록 상기 프로세스 영역에 유체 결합된 원격 플라즈마 소스
    를 포함하는 장치.
  14. 제13항에 있어서, 상기 제1 확산기, 상기 면판, 상기 세라믹 링, 상기 제2 확산기, 상기 가스 분배 디바이스, 및 상기 플라즈마 차단 스크린 각각은 이트리아 또는 알루미나를 포함하는 세라믹 코팅으로 코팅되는, 장치.
  15. 제13항에 있어서, 상기 기판 지지 어셈블리가 처리 위치에 배치될 때, 상기 플라즈마 차단 스크린은 상기 기판 지지 어셈블리로부터 0.5cm 내지 4.0cm의 거리에 배치되는, 장치.
KR1020187022306A 2016-01-07 2017-01-04 원격 플라즈마 소스 및 dc 전극을 구비하는 원자 층 에칭 시스템 KR20180094109A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662276098P 2016-01-07 2016-01-07
US62/276,098 2016-01-07
PCT/US2017/012197 WO2017120241A1 (en) 2016-01-07 2017-01-04 Atomic layer etching system with remote plasma source and dc electrode

Publications (1)

Publication Number Publication Date
KR20180094109A true KR20180094109A (ko) 2018-08-22

Family

ID=59274150

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187022306A KR20180094109A (ko) 2016-01-07 2017-01-04 원격 플라즈마 소스 및 dc 전극을 구비하는 원자 층 에칭 시스템

Country Status (6)

Country Link
US (1) US20170200587A1 (ko)
JP (1) JP2019503077A (ko)
KR (1) KR20180094109A (ko)
CN (1) CN108431930A (ko)
TW (1) TW201735092A (ko)
WO (1) WO2017120241A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2905165A1 (en) 2013-03-15 2014-09-18 Hycor Biomedical, Inc. Automated immunoanalyzer system for performing diagnostic assays for allergies and autoimmune diseases
US11029406B2 (en) * 2018-04-06 2021-06-08 Luminar, Llc Lidar system with AlInAsSb avalanche photodiode
CN108346554A (zh) * 2018-04-24 2018-07-31 西南林业大学 一种等离子体刻蚀与沉积设备及方法
KR20210042939A (ko) * 2018-09-05 2021-04-20 도쿄엘렉트론가부시키가이샤 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
CN112530774B (zh) * 2019-09-17 2024-04-05 中微半导体设备(上海)股份有限公司 等离子体处理设备
US11282711B2 (en) * 2020-07-31 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-assisted etching of metal oxides
US20220254641A1 (en) * 2021-02-11 2022-08-11 Applied Materials, Inc. Method and apparatus of low temperature plasma enhanced chemical vapor deposition of graphene

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05335281A (ja) * 1992-06-01 1993-12-17 Toshiba Corp ドライエッチング装置
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6375860B1 (en) * 1995-03-10 2002-04-23 General Atomics Controlled potential plasma source
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6143079A (en) * 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US8282768B1 (en) * 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
JP4838736B2 (ja) * 2007-01-25 2011-12-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US20090236214A1 (en) * 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
JP5281309B2 (ja) * 2008-03-28 2013-09-04 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法及びコンピュータ読み取り可能な記憶媒体
JP5371466B2 (ja) * 2009-02-12 2013-12-18 株式会社日立ハイテクノロジーズ プラズマ処理方法
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) * 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103348776B (zh) * 2011-02-15 2017-06-09 应用材料公司 多区等离子体生成的方法和设备
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US20130287963A1 (en) * 2012-04-26 2013-10-31 Varian Semiconductor Equipment Associates, Inc. Plasma Potential Modulated ION Implantation Apparatus
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR101574740B1 (ko) * 2013-08-28 2015-12-04 (주)젠 기상식각 및 세정을 위한 플라즈마 장치
KR102267391B1 (ko) * 2013-11-06 2021-06-18 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버를 위한 격리기
US9287095B2 (en) * 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9502218B2 (en) * 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US9972477B2 (en) * 2014-06-28 2018-05-15 Applied Materials, Inc. Multiple point gas delivery apparatus for etching materials
US20160032451A1 (en) * 2014-07-29 2016-02-04 Applied Materials, Inc. Remote plasma clean source feed between backing plate and diffuser
US9368364B2 (en) * 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching

Also Published As

Publication number Publication date
WO2017120241A1 (en) 2017-07-13
CN108431930A (zh) 2018-08-21
TW201735092A (zh) 2017-10-01
US20170200587A1 (en) 2017-07-13
JP2019503077A (ja) 2019-01-31

Similar Documents

Publication Publication Date Title
KR20180094109A (ko) 원격 플라즈마 소스 및 dc 전극을 구비하는 원자 층 에칭 시스템
US11670486B2 (en) Pulsed plasma chamber in dual chamber configuration
KR102098698B1 (ko) 플라즈마 처리 장치
US11239061B2 (en) Methods and systems to enhance process uniformity
JP7175339B2 (ja) 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ
US9842744B2 (en) Methods for etch of SiN films
KR102465689B1 (ko) 듀얼 플레넘 샤워헤드를 사용하는 준안정 활성화된 라디칼의 선택적인 스트립 및 에칭 시스템들 및 방법들
US7837826B2 (en) Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US20170125220A1 (en) Rps assisted rf plasma source for semiconductor processing
KR102311575B1 (ko) 피처리체를 처리하는 방법
KR20210038938A (ko) 플라즈마 공정을 위한 방법 및 장치
WO2016148769A1 (en) Ion-ion plasma atomic layer etch process and reactor
CN111463125A (zh) 使用多个流动途径的自由基化学调制及控制
US20180158651A1 (en) Device for Treating an Object with Plasma
CN107924839B (zh) 可调的远程分解
JP2004353066A (ja) プラズマ源およびプラズマ処理装置
KR20050059894A (ko) 전자빔 큐어링 장비
CN117174562A (zh) 利用等离子体的基板处理装置和方法
CN118016501A (en) System and method for metastable activated radical selective stripping and etching using dual chamber showerhead