KR100557273B1 - 플라즈마에 튜닝되는 샤워헤드 rf 전극을 갖는 아킹 억제된 merie 플라즈마 반응기 - Google Patents

플라즈마에 튜닝되는 샤워헤드 rf 전극을 갖는 아킹 억제된 merie 플라즈마 반응기 Download PDF

Info

Publication number
KR100557273B1
KR100557273B1 KR1020047006023A KR20047006023A KR100557273B1 KR 100557273 B1 KR100557273 B1 KR 100557273B1 KR 1020047006023 A KR1020047006023 A KR 1020047006023A KR 20047006023 A KR20047006023 A KR 20047006023A KR 100557273 B1 KR100557273 B1 KR 100557273B1
Authority
KR
South Korea
Prior art keywords
plasma
electrode
delete delete
frequency
overhead
Prior art date
Application number
KR1020047006023A
Other languages
English (en)
Other versions
KR20040045913A (ko
Inventor
호프만다니엘제이
예얀
캣츠댄
부크버거더글라스에이주니어
자오지아오예
치앙캉리
하겐로버트비
밀러매튜엘
Original Assignee
어플라이드 머티어리얼즈 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼즈 인코포레이티드 filed Critical 어플라이드 머티어리얼즈 인코포레이티드
Publication of KR20040045913A publication Critical patent/KR20040045913A/ko
Application granted granted Critical
Publication of KR100557273B1 publication Critical patent/KR100557273B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 워크피스를 처리하는 플라즈마 반응기는 챔버벽을 가지며 반도체 지지부를 지지하는 워크피스 지지부를 포함하는 반응기 챔버, 챔버벽의 부분을 포함하는 전극, 및 생성기의 주파수에서 전력을 오버헤드 전극으로 공급하고 원하는 플라즈마 이온 밀도 레벨로 챔버내에서 플라즈마를 유지시킬 수 있는 RF 전력 발생기를 포함한다. 오버헤드 전극과, 원하는 플라즈마 이온밀도로 챔버에 형성된 플라즈마는 전극 플라즈마 공진주파수에서 함께 공진하며, 이 전극 플라즈마 공진 주파수의 부근에 적어도 발생기의 주파수가 존재한다. 또한, 반응기는 웨이퍼 표면의 바로위의 플라즈마 처리영역을 둘러싸며, 플라즈마를 일으키는 순환 자계를 천천히 생성하여, 플라즈마 밀도분포의 균일성을 향상시키는 MERIE 자석 세트를 포함한다.
플라즈마 반응기

Description

플라즈마에 튜닝되는 샤워헤드 RF 전극을 갖는 아킹 억제된 MERIE 플라즈마 반응기 {MERIE PLASMA REACTOR WITH SHOWERHEAD RF ELECTRODE TUNED TO THE PLASMA WITH ARCING SUPPRESSION}
관련 출원에 대한 상호-참조
본 출원은 명칭이 "Plasma Reactor with Overhead RF Electrode Tuned to the Plasma" 으로 Daniel Hoffman 등에 의해 2000년 3월 17일에 출원되고, 본 양수인에게 양도된 미국특허 출원번호 제 09/527,342 호의 CIP 출원이다.
발명의 배경
RF 플라즈마 반응기는 반도체 웨이퍼를 프로세싱하여 마이크로 전자회로를 제조하는데 이용된다. 그 반응기는 프로세싱될 웨이퍼를 수용하는 챔버 (chamber) 를 형성한다. 플라즈마는 형성되어, 유도성 (inductively) 또는 용량성 (capacitively) 으로 챔버에 커플링되는 RF 플라즈마 소스 전력의 애플리케이션에 의해 유지된다. RF 소스 전력이 챔버에 용량성으로 커플링되는 경우, 오버헤드 전극 (웨이퍼와 면해 있음) 은 RF 소스 전력 발생기에 의해 전력공급된다.
이러한 반응기의 하나의 문제점은, 통상적으로 50 오옴인 RF 발생기의 출력 임피던스가 전극과 플라즈마의 조합에 의해 제공되는 부하 임피던스에 정합되야 한다는 것이다. 그렇지 않으면, 플라즈마 챔버에 전달되는 RF 전력량은 플라즈마 부하 임피던스에서의 변동으로 변하여, 플라즈마 밀도와 같은 어떤 프로세스 파라 미터들이 요구 제한 내에서 유지될 수 없다. 플라즈마 부하 임피던스가 프로세싱이 진행함에 따라 동적으로 변하기 쉬운 반응기 챔버 내의 상태에 의존하기 때문에, 그 플라즈마 부하 임피던스는 프로세싱 동안에 변동된다. 유전체 또는 도전체 에칭 프로세스에 대하여 최적의 플라즈마 밀도에서, 부하 임피던스는 RF 발생기의 출력 임피던스에 비하여 매우 작고, 웨이퍼의 프로세싱 동안에 현저하게 변할 수 있다. 따라서, 발생기와 부하 사이의 임피던스 정합을 능동적으로 유지하기 위하여 임피던스 정합 회로가 채용되야 한다. 이러한 능동적인 임피던스 정합은 가변 리액턴스 및/또는 가변 주파수를 이용한다. 이러한 임피던스 정합 회로에 따른 하나의 문제점은, 그 회로들이 플라즈마 부하 임피던스에서의 신속한 변화를 따르기에 충분히 기민해야 하기 때문에 비교적 고비용이며, 그 복잡도로 인하여 시스템 신뢰성을 감소시킬 수 있다는 것이다.
다른 문제점은 정합 회로가 임피던스 정합을 제공할 수 있는 부하 임피던스 범위가 제한된다는 것이다. 정합 공간은 시스템 Q 와 관련되는데, 여기서, Q = △f/f 이며, f 는 시스템의 공진 주파수이며, △f 는 공진 진폭이 f 에서의 최대 공진 진폭의 6 dB 내에 존재하는 f 의 어느 측면상의 대역폭이다. 통상적인 RF 발생기는 플라즈마 임피던스가 변동하는 것 만큼 더 많은 RF 전력이 그 발생기에 되반사될 때에, 포워드 전력 (forward power) 을 거의 일정한 레벨로 유지하도록 제한된 능력을 갖는다. 통상적으로, 이것은 자신의 포워드 전력 레벨을 제공하는 발생기에 의해 달성되어, 임피던스 부정합 (mismatch) 이 증가함 (이에 따라 반사되는 전력이 증가함) 에 따라, 그 발생기도 자신의 포워드 전력 레벨을 증가시킨 다. 물론, 이러한 능력은 그 발생기가 발생시킬 수 있는 최대 포워드 전력에 의해 제한된다. 통상적으로, 그 발생기는 많아야 3:1 의 반사파 전압에 대한 포워드 정재파 전압의 최대 비 (즉, 전압 정재파 비 또는 VSWR) 를 유지할 수 있다. 만약 (예를 들어, 프로세싱 동안 플라즈마 임피던스 변동으로 인하여) 임피던스의 차이가 증가하여 VSWR 이 3:1 을 초과하면, RF 발생기는 전달된 전력을 더 이상 제어할 수 없으며 플라즈마에 대한 제어를 상실한다. 따라서, 그 프로세스는 실패하기 쉽다. 따라서, 적어도 근사 임피던스 정합이 전극과 챔버의 조합에 의해 제공되는 부하와 RF 발생기 사이에서 유지되야 한다. 이러한 근사 임피던스 정합은 발생기 출력에서의 VSWR 를 플라즈마 임피던스 변동치의 전체 예상 범위에 대하여 3:1 VSWR 한계 내에 유지하기에 충분해야 한다. 통상적으로, 임피던스 정합 공간은 그 정합 회로가 발생기 출력에서의 VSWR 을 3:1 이하로 유지할 수 있는 부하 임피던스 범위이다.
관련 문제점은 부하 임피던스 자체가 챔버 압력, 플라즈마 소스 전력 레벨, 소스 전력 주파수 및 플라즈마 밀도와 같은 프로세스 파라미터에 매우 민감하다는 것이다. 이것은 플라즈마 반응기가 허용불가능한 임피던스 부정합을 방지하거나 정합 공간 외부의 부하 임피던스를 취하는 변동을 방지하도록 동작되야 하는 프로세스 파라미터 범위 ("프로세스 윈도우") 를 제한한다. 이와 유사하게, 비교적 좁은 프로세스 윈도우 및 프로세스 용도, 또는 다수의 프로세스 애플리케이션을 처리할 수 있는 것의 외부에서 동작될 수 있는 반응기를 제공하기가 어렵게 된다.
또한, 다른 관련 문제점은 부하 임피던스가 일정한 기계적인 특징의 크기, 및 반응기 내의 일정한 재료의 도전율 또는 유전 상수와 같은 반응기 자체의 구성에 의해 영향을 받는다는 것이다. (이러한 구성 아이템들은, 예를 들어, 부하 임피던스에 차례로 영향을 주는 표유 커패시턴스와 같은 반응기의 전기적인 특성에 영향을 준다.) 이것은 재료의 제조 허용오차 및 편차로 인하여 동일한 설계의 서로 다른 반응기들 사이의 균일성의 유지를 어렵게 한다. 따라서, 높은 시스템 Q 및 대응하게 작은 임피던스 정합 공간 때문에, 동일한 프로세스 윈도우를 나타내거나 동일한 성능을 제공하는 동일한 설계의 어떤 2 개의 반응기를 제조하는 것이 어렵다.
또 다른 문제점은 RF 전원의 비효율적인 이용이다. 플라즈마 반응기는 플라즈마에 전달되는 전력량이 RF 발생기에 의해 발생되는 전력 보다 훨씬 작기 쉽다는 점에서 비효율적인 것으로 알려져 있다. 따라서, 발생기 능력의 추가적인 비용 및 신뢰도에 대한 트레이드-오프 (trade-off) 는 플라즈마에 전달되는데 실제로 요구되는 것을 초과하여 전력을 생산하게 한다.
발명의 요약
반도체 워크피스를 프로세싱하는 플라즈마 반응기는 챔버 벽을 갖고 반도체 워크피스를 지지하기 위한 워크피스 지지부를 포함하는 반응기 챔버, 상기 워크피스 지지부 위에 위치하고 챔버 벽의 일부를 포함하는 오버헤드 전극, 및 오버헤드 전극에 전력을 발생기 주파수로 제공하고 챔버 내의 플라즈마를 원하는 플라즈마 이온 밀도 레벨로 유지할 수 있는 RF 전력 발생기를 구비한다. 오버헤드 전극은 원하는 플라즈마 이온 밀도에서의 챔버에 형성된 플라즈마 및 오버헤드 전극이 전극-플라즈마 공진 주파수에서 함께 공진하도록 커패시턴스를 가지며, 발생기의 주파수는 적어도 전극-플라즈마 공진 주파수 부근에 존재한다. 또한, 그 반응기는 플라즈마 이온 밀도 분포의 균일성을 향상시키기 위하여 플라즈마를 교란시키는, 느리게 순환하는 자계를 생성하는 웨이퍼 표면 상에 위치한 플라즈마 프로세스 영역을 둘러싼 MERIE 자석 세트를 포함한다. 그 반응기는 워크피스 지지부와 면해 있는 오버헤드 전극 표면 상에 형성되는 절연층, RF 전력 발생기와 오버헤드 전극 사이의 용량성 절연층, 및 워크피스 지지부로부터 떨어져 면하는 오버헤드 전극의 표면의 위에 위치하여 그 표면과 접촉하는 금속 또는 세라믹 폼 (foam) 층을 포함할 수 있다. 절연층은 가스 주입 포트 내에서 아크를 억제하기에 충분한 커패시턴스를 제공하며, 용량성 절연층은 챔버 내의 플라즈마로부터의 D.C 전류가 오버헤드 전극을 통하여 흐르는 것을 방지하기 위하여 충분한 커패시턴스를 가지며, 금속폼 층은 가스 주입구 내에서 축방향 전계를 억제하기 위하여 충분한 두께를 가진다.
도면의 간단한 설명
도 1 은 본 발명의 일 실시형태에 따른 플라즈마 반응기의 절삭 단면도이다.
도 2a 및 2b 는 각각 도 1 의 축방향 스터브 (stub) 및 그 축방향 스터브를 따르는 위치의 함수로서 전압 및 전류 정재파 진폭을 나타낸 도면이다.
도 3 은 더 넓은 정합 공간에서 더 일정하게 전달된 VHF 전력 레벨을 유지하기 위하여 높은 플라즈마 부하 임피던스에 응답하여 발생하는 축방향 스터브 상의 입력 전력 탭 포인트에서의 전류의 삭감을 나타낸 것이다.
도 4 는 더 넓은 정합 공간에서 더 일정하게 전달된 VHF 전력 레벨을 유지하기 위하여 낮은 플라즈마 부하 임피던스에 응답하여 발생하는 축방향 스터브 상의 입력 전력 탭 포인트에서의 전류의 추가를 나타낸 것이다.
도 5 는 도 1 의 실시형태의 주파수의 함수로서 낮은-Q 반사 계수를 나타낸 그래프이다.
도 6 은 스터브 길이를 따라 정재파 전류 및 전압을 갖는 축방향 스터브 상의 입력 전력 탭 포인트에서의 전류 분포의 상호작용을 나타낸 그래프이다.
도 7 은 도 1 의 축방향 스터브의 또 다른 실시형태를 나타낸 것이다.
도 8 은 본 발명의 또 다른 실시형태를 도시한 것이다.
도 9 는 도 8 에 대응하는 확대도이다.
도 10 은 도 9 의 확대도이다.
도 11a 는 도 8 의 또 다른 확대도이다.
도 11b 는 도 11a 에 대응하는 또 다른 실시형태를 도시한 것이다.
도 12 는 본 발명의 또 다른 실시형태를 도시한 것이다.
도 13 은 도 12 에 대응하는 평면도이다.
도 14 는 도 13 의 반응기의 또 다른 실시형태에 대응하는 평면도이다.
상세한 설명
도 1 을 참조하면, 플라즈마 반응기는 챔버의 최저부에 반도체 웨이퍼 (110) 을 지지하는 웨이퍼 지지부 (105) 를 갖는 반응기 챔버 (100) 을 포함한다. 예시적인 구현에서, 프로세스 키트는 접지된 챔버 몸체 (127) 상의 유전성 링 (dielectric ring; 120) 에 의해 지지되는 도전성 또는 반-도전성 (semi-conductive) 링 (115) 을 포함할 수도 있다. 챔버 (100) 은 접지된 챔버 몸체 (127) 상의 웨이퍼 (110) 상의 소정의 갭 (gap) 길이에서 유전성 실 (seal) 에 의해 지지되는 디스크 형상의 오버헤드 도전 전극에 의해 최상부에서 바인딩된다. 오버헤드 전극 (125) 는 내부 표면 상에 반 (semi)-금속 재료 (예를 들어, Si 또는 SiC) 로 덮여질 수도 있는 금속 (예를 들어, 알루미늄) 일 수도 있다. RF 발생기 (150) 은 RF 전력을 전극 (125) 에 인가한다. 발생기 (150) 로부터의 RF 전력은 그 발생기 (150) 에 정합된 동축 케이블 (162) 를 통하여, 전극 (125) 에 접속된 동축 스터브 (135) 에 커플링된다. 이하, 더 충분히 설명되는 바와 같이, 그 스터브 (135) 는 특성 임피던스, 공진 주파수를 가지며, 전극 (125) 와 동축 케이블 (162) 사이의 임피던스 정합 또는 RF 전력 발생기 (150) 의 출력을 제공한다. 챔버 몸체는 RF 발생기 (150) 의 RF 리턴 (RF return; RF 접지) 에 접속된다. 오버헤드 전극 (125) 로부터 RF 접지까지의 RF 경로는 유전성 실 (120) 의 커패시턴스 및 유전성 실 (130) 의 커패시턴스에 의해 영향을 받는다. 웨이퍼 지지부 (105), 웨이퍼 (110) 및 프로세스 키트의 도전성 또는 반(semi)-도전성 링 (115) 는 전극 (125) 에 인가된 RF 전력에 대한 제 1 RF 리턴 경로를 제공한다.
예시적인 실시형태에서, 전극 (125), 프로세스 키트 (115, 120), 및 RF 리터 또는 접지에 관하여 측정된 유전성 실 (130) 을 포함하는 오버헤드 전극 조립체 (126) 의 커패시턴스는 180 피코 패럿이다. 전극 조립체의 커패시턴스는 전극 영역, 갭 길이 (웨이퍼 지지부와 오버헤드 전극 사이의 거리), 및 스트레이 커패시 턴스, 특히, 이용되는 재료의 유전 상수 및 두께에 의해 차례로 영향을 받는 유전성 링 (120) 및 실 (130) 의 유전값에 영향을 주는 인자들에 의해 영향을 받는다. 후술되는 바와 같이, 더 일반적으로, 전극 조립체 (126) 의 커패시턴스 (부호없는 (unsigned) 수 또는 스칼라 (scalar)) 는 특정한 소스 전력 주파수, 플라즈마 밀도 및 동작 압력에서 플라즈마 (복소수) 의 음 (-) 의 커패시턴스와 크기 상으로 동일하거나 거의 동일하다.
상술한 관계에 영향을 주는 많은 인자들은 반응기, 웨이퍼 사이즈에 의해 수행되도록 요구되는 플라즈마 프로세스 요건들, 및 그 프로세싱이 웨이퍼 상에서 균일하게 실행되는 요건의 존재로 인하여 대부분 미리 결정된다. 따라서, 플라즈마 커패시턴스는 플라즈마 밀도 및 소스 전력 주파수의 함수이지만, 전극 커패시턴스는 웨이퍼 지지부-전극 갭 (높이), 전극 직경, 조립체의 절연체의 유전값의 함수이다. 플라즈마 밀도, 동작 압력, 갭, 및 전극 직경은 반응기에 의해 수행될 플라즈마 프로세스의 요건을 반드시 만족해야 한다. 특히, 이온 밀도는 반드시 일정한 범위 내에 있어야 한다. 예를 들어, 일반적으로, 실리콘 및 유전성 플라즈마 에치 프로세스는 플라즈마 이온 밀도가 109 - 1012 이온/cc 의 범위 내에 있을 것을 요구한다. 웨이퍼 전극 갭은, 예를 들어, 그 갭이 약 2 인치일 경우에, 8 인치 웨이퍼에 대하여 최적의 플라즈마 이온 분포 균일성을 제공한다. 만약 웨이퍼의 직경보다 더 크지 않으면, 전극 직경은 적어도 웨이퍼 직경과 비슷한 것이 바람직하다. 이와 유사하게, 동작 압력은 통상적인 에치 및 다른 플라 즈마 프로세스에 대하여 실용적인 범위를 가진다.
하지만, 다른 인자들은 상기 바람직한 관계, 특히, 오버헤드 전극 조립체 (126) 에 대한 소스 주파수의 선택 및 커패시턴스의 선택을 달성하기 위하여 선택될 수 있는 것을 잔류시킴을 알 수 있다. 전극에 부과된 상기 치수 제약 및 플라즈마에 부과된 제약 (예를 들어, 밀도 범위) 내에서, 만약 소스 전력 주파수가 VHF 주파수로 선택되고, 전극 조립체 (126) 의 절연체 컴포넌트들의 유전값이 적절하게 선택되면, 전극 커패시턴스는 플라즈마의 음 (-) 의 커패시턴스의 크기에 정합될 수 있다. 이러한 선택은 소스 전력 주파수와 플라즈마-전극 공진 주파수 사이를 정합 또는 거의 정합시킬 수 있다.
따라서, 예시적인 실시형태에서, 8-인치 웨이퍼의 경우, 오버헤드 전극의 직경은 대략 11 인치이고, 갭은 약 2 인치이고, 플라즈마 밀도 및 동작 압력은 상술한 바와 같이 에치 프로세스에 대하여 통상적이고, (비록 다른 VHF 주파수들도 동일하게 유효할 수 있지만) VHF 소스 전력 주파수는 210 MHz 이며, 소스 전력 주파수, 플라즈마 전극 공진 주파수 및 스터브 공진 주파수는 완전히 정합 또는 거의 정합된다.
좀더 자세하게는, 이들 3 개의 주파수는 서로 미세하게 오프셋 (offset) 되는데, 즉, 시스템 Q 를 바람직하게 감소시키는 디-튜닝 (de-tuning) 효과를 달성하기 위하여, 소스 전력 주파수는 210 MHz 이고, 전극-플라즈마 공진 주파수는 대략 200 MHz 이고, 스터브 주파수는 약 220 MHz 이다. 시스템 Q 의 감소는 반응기의 성능이 챔버 내부의 상태 변화에 덜 영향을 받도록 하여, 전체 프로세스가 훨씬 더 안정되고, 훨씬 더 넓은 프로세스 윈도우 상에서 실행될 수 있다.
동축 스터브 (135) 는 전체 시스템 안정성, 넓은 프로세스 윈도우 능력, 및 다수의 다른 유용한 이점들에 더 기여하는, 특별히 구성된 설계이다. 그것은 내부의 원통형 도전체 (140) 및 외부의 동심 원통형 도전체 (145) 를 포함한다. 예를 들어, 상대적인 유전 상수 1 을 갖는 절연체 (147; 도 1 에 빗금으로 표시함) 는 내부 도전체와 외부 도전체 (140, 145) 사이의 공간을 채운다. 내부 및 외부 도전체 (140, 145) 는, 예를 들어, 니켈-코팅 알루미늄으로 형성될 수도 있다. 예시적인 실시형태에서, 외부 도전체 (145) 는 약 4 인치의 직경을 갖고, 내부 도전체 (140) 은 약 1.5 인치의 직경을 가진다. 스터브 특성 임피던스는 내부 및 외부 도전체 (140, 145) 의 반경 및 절연체 (147) 의 유전 상수에 의해 결정된다. 상술한 실시형태의 스터브 (135) 는 65 Ω의 특성 임피던스를 가진다. 더 일반적으로, 스터브 특성 임피던스는 소스 전력 출력 임피던스 보다 약 20 % - 40 %, 바람직하게는, 약 30 % 초과한다. 스터브 (135) 는 210 MHz 의 VHF 소스 전력 주파수로부터 조금 오프셋되지만 일반적으로는 정합하도록 220 MHz 근방에서 공진을 갖기 위하여, 약 29 인치의 축방향 길이 (220 MHz 의 ¼파장) 를 가진다.
후술되는 바와 같이, 탭 (160) 은 RF 발생기 (150) 으로부터 스터브 (135) 까지 RF 전력을 인가하기 위하여 스터브 (135) 의 축방향 길이를 따라 특정한 포인트에서 제공된다. 그 발생기 (150) 의 RF 전력 단자 (150b) 및 RF 리턴 단자 (150a) 는 스터브 (135) 상의 탭 (160) 에서 각각 내부 및 외부 동축 스터브 도전체 (140, 145) 와 접속된다. 이들 접속은 발생기 (150) 의 출력 임피던스 (통 상적으로, 50 Ω) 와 널리 공지되어 있는 방식으로 정합하는 특성 임피던스를 갖는 발생기-스터브 동축 케이블 (162) 를 통하여 형성된다. 스터브 (135) 의 원단 (far end; 135a) 에서의 종단 도전체 (165) 는 내부 및 외부 도전체 (140, 145) 를 단락시킴으로써, 스터브 (135) 가 자신의 원단 (135a) 에서 단락된다. 스터브 (135) 의 근접단 (near end) (135b; 단락되지 않은 단) 에서, 외부 도전체 (145) 는 고리 모양의 도전성 하우징 또는 지지부 (175) 를 통하여 챔버 몸체에 접속되지만, 내부 도전체 (140) 은 도전성 원통 또는 지지부 (176) 를 통하여 전극 (125) 의 중심에 접속된다. 유전성 링 (180) 은 도전성 원통 (176) 과 전극 (125) 을 분리하여 그 사이에 유지된다.
내부 도전체 (140) 은 프로세스 가스 및 냉각제와 같은 설비에 콘딧 (conduit) 를 제공한다. 이러한 특징의 중요한 이점은, 통상적인 플라즈마 반응기와는 달리, 가스 라인 (170) 및 냉각제 라인 (173) 이 큰 전위차를 방해하지 않는다는 것이다. 따라서, 그들은 그러한 목적으로 저 비용이고 더 신뢰할 만한 재료인 금속으로 구성된 수도 있다. 금속 가스 라인 (170) 은 오버헤드 전극 (125) 에 또는 그 근방에 가스 흡입구 (172) 를 제공하지만, 금속 냉각제 라인 (173) 은 오버헤드 전극 (125) 내의 냉각제 통로 또는 재킷 (174) 을 제공한다.
이에 따라, 반사되는 전력을 최소화하고, 부하 임피던스의 큰 변화를 수용하는 매우 넓은 임피던스 정합 공간을 제공하는 프로세싱 플라즈마 부하 및 오버헤드 전극 조립체 (126) 와 RF 발생기 (150) 사이에서 이렇게 특별하게 구성된 스터브 정합에 의해 활성 및 공진 임피던스 변환이 제공된다. 따라서, 통상적인 임피 던스 정합 장치에 대한 요구를 최소화 또는 방지하면서, 전력 사용에서 이전에 얻을 수 없는 효율성과 함께 넓은 프로세스 윈도우 및 프로세스 유연성을 모두 제공받는다. 상술한 바와 같이, 스터브 공진 주파수는 전체 시스템 Q, 시스템 안정성, 및 프로세스 윈도우 및 멀티-프로세스 능력을 더 향상시키도록 이상적인 정합으로부터 오프셋된다.
전극-플라즈마 공진 주파수와 VHF 소스 전력 주파수의 정합:
상술한 바와 같이, 중요한 특성은 전극-플라즈마 공진 주파수에서 플라즈마와 공진하고 소스 전력 주파수와 전극-플라즈마 주파수를 정합 (또는 거의 정합) 시키기 위해 오버헤드 전극 조립체 (126) 을 구성한다는 점이다. 전극 조립체 (126) 은 매우 큰 용량성 리액턴스를 갖지만, 플라즈마 리액턴스는 주파수, 플라즈마 밀도 및 다른 파라미터들의 복소 함수이다. (이하, 더 상세히 설명되는 바와 같이, 플라즈마는 허수 항을 포함하는 복소 함수이고 일반적으로는 음 (-) 의 커패시턴스에 대응하는 리액턴스의 관점에서 분석된다.) 전극-플라즈마 공진 주파수는 전극 조립체 (126) 의 리액턴스 및 플라즈마의 리액턴스 (캐패시터 및 인덕터의 리액턴스에 의해 결정되는 캐패시터/인덕터 공진 회로의 공진 주파수와 유사함) 에 의해 결정된다. 따라서, 전극-플라즈마 공진 주파수는 사실상 플라즈마 밀도에 의존하는 소스 전력 주파수일 필요는 없을 수도 있다. 따라서, 문제는 전극-플라즈마 공진 주파수가 플라즈마 밀도 및 전극 치수의 특정한 범위로의 실용적인 제한에 대한 제약이 주어지는 소스 전력 주파수와 동일 또는 거의 동일한 플라즈마 리액턴스를 갖는 소스 전력 주파수를 찾는 것이다. 그 문제는 플라즈 마 밀도 (플라즈마 리액턴스에 영향을 줌) 및 전극 치수 (전극 커패시턴스에 영향을 줌) 가 일정한 프로세스 제약을 만족해야 하기 때문에 훨씬 더 어려워진다. 좀더 자세하게는, 유전체 및 도전체 플라즈마 에치 프로세스의 경우, 플라즈마 리액턴스에 대한 제약인 플라즈마 밀도가 109 -1012 이온/cc 의 범위 내에 있어야 한다. 또한, 예를 들어, 8-인치 직경 웨이퍼 프로세싱용의 더 균일한 플라즈마 이온 밀도 분포는 약 2 인치의 웨이퍼-전극 갭 또는 높이에 의해, 및 웨이퍼 직경 이상의 전극 직경 (이것은 전극 커패시턴스에 대한 제약임) 에 의해 실현된다. 한편, 다른 갭은 12-인치 직경 웨이퍼용으로 이용될 수도 있다.
따라서, 플라즈마의 음 (-) 의 커패시턴스의 크기에 전극 커패시턴스를 정합 (또는 거의 정합) 시킴으로써, 전극-플라즈마 공진 주파수 및 소스 전력 주파수가 적어도 거의 정합된다. 상술한 일반적인 도전체 및 유전체 에치 프로세스 조건 (즉, 109 -1012 이온/cc 의 플라즈마 밀도, 2-인치 갭, 및 대략 11 인치 정도의 전극 직경) 의 경우, 만약 소스 전력 주파수가 VHF 주파수이면, 정합이 가능하다. 다른 조건 (예를 들어, 상이한 주파수 직경, 상이한 플라즈마 밀도 등) 은 반응기의 이러한 특성을 실행하는데 정합을 실현하기 위하여 상이한 주파수 범위를 나타낼 수도 있다. 후술되는 바와 같이, 유전체 및 금속 플라즈마 에칭 및 화학 기상 증착을 포함하는 수개의 중요한 애플리케이션에서 8-인치 웨이퍼를 프로세싱하기 위하여 선호되는 플라즈마 프로세싱 조건에 따라, 상술한 플라즈마 밀도를 갖는 하나의 통상적인 작동 예에서의 플라즈마 커패시턴스는 -50 내지 -400 피코 패럿이 었다. 예시적인 실시형태에서, 오버헤드 전극 조립체 (126) 의 커패시턴스는 11 인치의 전극 직경, 대략 2 인치의 갭 길이 (전극-페디스털 (pedestal) 간격) 를 사용함으로써, 9 의 유전 상수 및 1 인치 정도의 두께를 갖는 실 (130) 용 유전성 재료, 및 4 의 유전 상수 및 10 mm 정도의 두께를 갖는 링 (120) 용 유전성 재료를 선택함으로써 이러한 음 (-) 의 플라즈마 커패시턴스의 크기에 정합되었다.
상술한 바와 같은 커패시턴스들의 정합을 가정할 때, 전극 조립체 (126) 과 플라즈마의 조합은 그 전극 (125) 에 인가된 소스 전력 주파수와 적어도 거의 정합하는 전극-플라즈마 공진 주파수에서 공진한다. 선호되는 에칭 플라즈마 프로세싱 방법, 환경, 플라즈마의 경우, 이러한 전극-플라즈마 공진 주파수 및 소스 전력 주파수는 VHF 주파수에서 정합 또는 거의 정합될 수 있으며, 주파수 정합 또는 준 (near)-정합이 구현되는 것은 매우 바람직하다는 것을 발견하였다. 후술되는 바와 같이, 예시적인 실시형태에서, 플라즈마의 음 (-) 의 주파수에 대한 상술한 값에 대응하는 전극-플라즈마 공진 주파수는 대략 200 MHz 이다. 소스 전력 주파수는, 후술될 다른 이점들을 실현하기 위하여 소스 전력 주파수가 전극-플라즈마 공진 주파수 보다 약간 높게 오프셋되는 준-정합값인 210 MHz 이다.
플라즈마 커패시턴스는 다른 것들 중에서 플라즈마 전자 밀도의 함수이다. 이것은 양호한 플라즈마 프로세싱 조건 (일반적으로 109 내지 1012 이온/cc 로 유지됨) 을 제공하기 위하여 필요한 플라즈마 이온 밀도에 관한 것이다. 이하, 더 자세히 설명되는 바와 같이, 이 밀도는 소스 전력 주파수 및 다른 파라미터들과 함 께 플라즈마의 음 (-) 의 커패시턴스를 결정하므로, 플라즈마 프로세싱 조건을 최적화하기 위한 요구에 의해 제한되는 것을 선택하게 한다. 그러나, 오버헤드 전극 조립체의 커패시턴스는 다수의 물리적인 인자, 예를 들어, 갭 길이 (전극 (125) 와 웨이퍼 사이의 간격); 전극 (125) 의 면적; 유전성 실 (130) 에 대한 유전체 손실 탄젠트의 범위; 전극 (125) 와 접지된 챔버 몸체 (127) 사이의 유전성 실 (130) 의 유전 상수의 선택; 프로세스 키트 유전성 실 (130) 에 대한 유전 상수의 선택; 및 유전성 실 (130 및 120) 의 두께와 링 (180) 의 유전 상수 및 두께에 의해 영향을 받는다. 이것은 오버헤드 전극 커패시턴스에 영향을 주는 이들 인자 및 다른 물리적인 인자들 중에서의 선택을 통하여 전극 조립체의 커패시턴스에 대한 어떤 조정을 허용한다. 이러한 조정 범위는 오버헤드 전극 조립체의 커패시턴스와 음 (-) 의 플라즈마 커패시턴스의 크기의 필요한 정합도를 달성하기에 충분하다는 것을 발견했다. 특히, 실 (130) 및 링 (120) 용의 유전성 재료 및 치수는 원하는 유전 상수 및 그에 따라 발생되는 유전 값을 제공하도록 선택된다. 그 후, 전극 커패시턴스에 영향을 주는 동일한 물리적인 인자들 중 어떤 것 (특히, 갭 길이) 이 다음의 실용적인 사항, 즉, 더 큰 직경의 웨이퍼에 대한 요구, 웨이퍼의 전체 직경에 걸쳐 플라즈마 이온 밀도 분포의 양호한 균일성에 대한 요구, 및 이온 밀도 대 이온 에너지의 양호한 제어에 대한 요구에 의해 명령 또는 제한되지만, 전극 커패시턴스와 플라즈마 커패시턴스의 정합이 달성될 수 있다.
플라즈마 커패시턴스와 정합하는 오버헤드 전극 커패시턴스에 대한 상술한 범위가 주어질 경우, 전극-플라즈마 공진 주파수는 210 MHz 의 소스 전력 주파수에 대하여 대략 200 MHz 였다.
전극 조립체 (126) 의 커패시턴스를 이러한 방식으로 선택한 후, 거기서 발생하는 전극-플라즈마 공진 주파수와 소스 전력 주파수의 정합의 가장 큰 이점은 소스 전력 주파수 근방에서의 전극과 플라즈마의 공진이 더 큰 임피던스 정합 및 더 넓은 프로세스 윈도우를 제공함으로써, 프로세스 조건의 변경에 대한 훨씬 더 큰 내성 및 이에 따라 더 큰 성능 안정성을 제공한다는 것이다. 전체 프로세싱 시스템은 동작 조건의 변화, 예를 들어, 플라즈마 임피던스의 시프트, 및 이에 따라 프로세스 적용가능성의 더 신뢰성 있고 더 큰 범위에 덜 민감하게 한다. 본 명세서에서 추후에 설명되는 바와 같이, 이러한 이점은 전극-플라즈마 공진 주파수와 소스 전력 주파수 사이의 작은 오프셋에 의해 더 개선된다.
플라즈마가 음 (-) 의 커패시턴스를 갖는 이유:
플라즈마의 커패시턴스는 수학식
Figure 112004016728350-pct00001
(여기서, i = (-1)1/2) 에 따라서, 자유 공간의 전기적인 유전율
Figure 112004016728350-pct00002
, 플라즈마 전자 주파수
Figure 112004016728350-pct00003
, 소스 전력 주파수 ω, 및 전자-중성자 충돌 주파수
Figure 112004016728350-pct00004
의 함수이며 복소수인 플라즈마의 전기적인 유전율 ε에 의해 관리된다.
(플라즈마 전자 주파수
Figure 112004016728350-pct00005
는 플라즈마 전자 밀도의 간단한 함수이며, 플라즈마 프로세싱에 대한 널리 공지된 간행물에 정의되어 있다.)
하나의 작동 예에서, 중성자 종 (neutral species) 은 아르곤이었고, 플라즈 마 전자 주파수는 약 230 MHz 였고, 플라즈마 밀도가 109 내지 1012 cc-1 가 되도록 인가된 충분한 RF 전력으로 10 mT 내지 200 mT 범위의 챔버 압력과 함께 RF 소스 전력 주파수는 약 210 MHz 였다. 플라즈마 에치 프로세스에서 통상적으로 적합한 이러한 조건에 따라, 전술한 수학식에 의해 정의된 유효 전기적인 유전율이 음수이기 때문에, 일반적으로, 플라즈마는 음 (-) 의 커패시턴스를 가진다. 이 조건에 따라, 플라즈마는 -50 내지 -400 피코 패럿의 음 (-) 의 커패시턴스를 나타냈다. 또한, 더 일반적인 관점에서 상술된 바와 같이, 플라즈마 전자 밀도 (및 소스 전력 주파수 및 전자-중성자 충돌 주파수) 의 함수로서의 플라즈마 커패시턴스는, 일반적으로, 유전성 에치, 금속 에치 및 CVD 와 같은 핵심 애플리케이션에 대하여 선호되는 플라즈마 프로세스의 실존에 의해, 원하는 일정한 범위 내에, 및 VHF 소스 전력 주파수에서의 음 (-) 의 값을 갖도록 제한되기 쉽다. 플라즈마의 이러한 특성을 이용함으로써, 반응기의 전극 커패시턴스 정합 및 주파수-정합 피쳐는 이전에 가능하지 않은 동작의 유연성 및 안정성 및 프로세스 윈도우 능력을 달성한다.
스터브 (135) 에 의해 제공되는 임피던스 변환:
스터브 (135) 는 챔버 내의 전극 조립체 (126) 과 플라즈마의 조합에 의해 제공되는 부하 임피던스와 RF 발생기 (150) 의 50 Ω출력 임피던스 사이의 임피던스 변환을 제공한다. 임피던스 정합의 경우, 발생기-스터브 접속부 및 스터브-전극 접속부에서는 RF 전력의 반사 (적어도 RF 발생기 (150) 의 VSWR 한계를 초과 하는 반사는 없음) 가 없거나 매우 작아야 한다. 다음으로, 이것이 어떻게 달성되는지를 설명한다.
발생기 (150) 의 원하는 VHF 주파수, 및 플라즈마 에치 프로세스에 적합한 플라즈마 밀도 및 챔버 압력 (즉, 각각, 109 - 1012 이온/cc 및 10 mT -200 mT) 에서, 플라즈마 자신의 임피던스는 약 (0.3 + (i)7) Ω이며, 여기서, 0.3 은 플라즈마 임피던스의 실수부이고, i = (-1)1/2 이고, 7 은 플라즈마 임피던스의 허수부이다. 전극-플라즈마 조합에 의해 제공되는 부하 임피던스는 이러한 플라즈마 임피던스 및 전극 조립체 (126) 의 커패시턴스의 함수이다. 상술한 바와 같이, 전극 조립체 (126) 의 커패시턴스는 약 200 MHz 의 전극-플라즈마 공진 주파수를 갖는 플라즈마와 전극 조립체 (126) 사이에서 공진을 달성하도록 선택된다. 스터브 (135) 의 공진 주파수가 전극-플라즈마 공진 주파수 또는 그 근방의 주파수로 설정되어 그 2 이 적어도 거의 공진하기 때문에, 스터브-전극 인터페이스에서의 RF 전력의 반사는 최소화되거나 방지된다.
동시에, 탭 (160) 에서, 스터브 (135) 의 정재파 전류에 대한 정재파 전압의 비율이 발생기 (150) 의 출력 임피던스 또는 케이블 (162) 의 특성 임피던스 (2 개 모두 약 50 W 임) 와 비슷하도록 탭 (160) 의 위치가 스터브 (135) 의 축방향 길이를 따라 존재하기 때문에, 발생기-스터브 인터페이스에서의 RF 전력의 반사는 최소화되거나 방지된다. 다음으로, 탭 (160) 이 이것을 달성하기 위하여 어떻게 위치되는지를 설명한다.
스터브의 탭 (160) 의 축방향 위치:
바람직하게는, 동축 스터브 (135) 의 축방향 길이는, 상술한 바와 같이, 전극-플라즈마 공진 주파수와 비슷한 "스터브" 주파수 (예를 들어, 220 MHz) 의 ¼파장의 배수이다. 예시적인 실시형태에서는, 동축 스터브 길이가 "스터브" 주파수의 약 ½파장, 즉, 약 29 인치가 되도록, 이 배수는 2 이다.
탭 (160) 은 스터브 (135) 의 길이를 따라 특정한 축방향 위치에 존재한다. 이 위치에서, 발생기 (150) 의 출력 주파수에서의 RF 신호의 정재파 전압 및 정재파 전류의 진폭들 사이의 비율은 RF 발생기 (150) 의 출력 임피던스 (예를 들어, 50 Ω) 에 정합하는 입력 임피던스에 대응한다. 이것은 스터브 (135) 에서의 전압 및 전류 정재파가 단락된 외부 스터브 단 (135a) 에서 각각 널 (null) 및 피크 (peak) 를 갖는 도 2a 및 도 2b 에 도시되어 있다. 탭 (160) 용으로 원하는 위치는 정재파 전압과 정재파 전류의 비율이 50 Ω에 대응하는 단락된 단으로부터 내부로 거리 A 에 있다. 당업자는 정재파 비율이 50 Ω인 곳을 실험적으로 결정함으로써 이 위치를 쉽게 찾을 수 있다. 본 명세서에서 추후 설명되는 바와 같이, RF 발생기의 출력 임피던스 (50 Ω) 에 정합을 제공하는 탭 (160) 의 거리 또는 위치 A 는 스터브 (135) 의 특성 임피던스의 함수이다. 탭 (160) 이 정확하게 거리 A 에 위치할 경우, 만약 RF 발생기가 3:1 전압 정재파 비율 (VSWR) 에 대하여 일정하게 전달되는 전력을 유지할 수 있는 통상의 종류라면, 임피던스 정합 공간은 부하 임피던스의 실수부에서의 9:1 변경을 수용한다.
예시적인 실시예에서는, 임피던스 정합 공간을 크게 확장시켜, 부하 임피던 스의 실제 부분에 약 60:1 변경을 조정한다. 정확한 50 W 포인트 위치 (A) 에서 동축 스터브 (135) 의 단락 외부 말단 (135a) 을 향해 탭 (160) 을 약간 이동시킴으로써 극적인 결과를 달성한다. 이 이동은 예를 들면 예시적인 실시예에 따른 파장의 5 % (즉, 약 1.5 인치) 이다. 약간 이동된 탭 위치에서 스터브의 전류에서 RF 전류 기여량을 가산하여 플라즈마 부하 임피던스의 변동에 대해 보상함으로써 항상 적절하도록 하는 반응기를 발견하였으며, 이를 도 3 및 도 4 를 참조하여 아래에서 설명한다. 이 보상은, 부하 임피던스의 실제 부분의 9 : 1 스윙을 60 : 1 스윙을 조정함으로써 정합 공간을 충분히 증가시킨다.
이 작용은, A 에서의 "정합" 위치로부터 탭 포인트를 멀리 이동시킴에 따른, 전극-플라즈마 부하 임피던스와 임피던스 부정합에 보다 민감한 스터브 (135) 의 정재파 전류의 위상 경향 때문인 것으로 생각된다. 전술한 바와 같이, 계획된 동작 조건 하에서 전극 어셈블리 (126) 가 플라즈마의 음의 커패시턴스와 정합된다. 이 커패시턴스는 바람직한 VHF 소스 파워 주파수 (210 MHz) 에서 -50 내지 -400 피코패럿이다. 이 커패시턴스에서, 플라즈마는 (0.3+i7)Ω 의 플라즈마 임피던스를 나타낸다. 즉, 0.3 Ω 은 시스템이 튜닝되기 위한 플라즈마 임피던스의 실제 부분이다. 플라즈마 조건이 변동함에 따라, 플라즈마 커패시턴스 및 임피던스는 이들의 계획상의 값으로부터 멀리 벗어난다. 플라즈마 커패시턴스가 전극 (125) 이 정합되었던 값으로부터 변화됨에 따라, 전극 플라즈마 공진의 위상이 변동되어, 스터브 (135) 의 전류 위상에 영향을 미친다. 스터브의 정재파 위상이 변형됨에 따라, 탭 (160) 에 인가되는 RF 생성기 전류는 위상 변형의 방향 을 따라 스터브 정재파 전류로 가감된다. 탭 (160) 의 (A) 에서의 50 Ω위치로부터의 전치는 작은 파장 비율로 제한된다 (예를 들면, 5%).
도 3 은 플라즈마 변동으로 인하여 플라즈마 임피던스의 실제 부분이 증가되었을 때 스터브 (135) 의 정재파 전류를 나타낸다. 도 3 에, 스터브 (135) 에 대한 축 위치 함수에 따른 전류 정재파 진폭을 도시한다. 수평축 상의 위치 0.1 에서의 정재파 전류 진폭의 불연속성은 탭 (160) 의 위치에 대응된다. 도 3 에서, 플라즈마 임피던스의 실제 부분이 시스템이 튜닝되기 위한 (즉, 전극 커패시턴스가 음의 플라즈마 커패시턴스와 일치하는) 계획된 플라즈마 임피던스 이상으로 높아지기 때문에 임피던스 부정합이 발생한다. 이 경우, 탭 (160) 에서의 전류는 스터브 (135) 의 정재파 전류에서 감산된다. 이 감산은 도 3 의 그래프에서 불연속성 또는 널 (null) 을 생성시키고, 전달된 전력을 감소시켜 증가된 부하를 오프셋한다. 이는 증가된 부하 (R) 로 인한 대응하는 전달된 전력 (I2R) 증가를 회피한다.
도 4 는 플라즈마 임피던스의 실제 부분이 감소할 때의 스터브 (135) 의 정재파 전류를 나타낸다. 도 4 에서, 전류 정재파 증폭은 스터브 (135) 에 따른 축위치의 함수에 따라 도시된다. 위치 0.1 에서의 정재파 전류 증폭의 불연속성은 탭 (160) 의 위치를 마크한다. 도 4 의 그래프에서, 플라즈마 임피던스의 실재 부분은 시스템을 튜닝하기 위해 계획한 플라즈마 임피던스 이하로 감소된다. 이 경우, 탭 (160) 에서의 전류는 스터브 (135) 의 정재파 전류로 가산된다. 이 가산은 전달된 전력을 증가시키고 감소된 부하를 오프셋하여, 감소된 부하 (R) 로 인해 수반되는 전달된 전력 (I2R) 의 감소를 회피하도록 한다. 이러한 보상에 의하여, 부하 임피던스의 변화의 큰 증가는 조정되어 정합 공간이 현저하게 증가되도록 할 수 있다.
부하 임피던스의 실제 부분에서의 60 : 1 스윙을 조정하기 위한 정합 공간의 팽창은 프로세스 윈도우 및 반응기의 신뢰성을 향상시킨다. 이는 특정 프로세서 또는 애플리케이션 동안 동작 조건이 변화됨에 따라, 또는 반응기가 상이한 애플리케이션에 대한 상이한 동작 방법으로 동작함에 따라, 플라즈마 임피던스, 특히 임피던스의 실제 부분을 변경하기 때문이다. 종래 기술에서는, 이러한 변화는, 시스템에 채용된 통상의 정합 회로의 범위를 쉽게 초과할 수 있도록 하여, 전달된 전력이 실행가능한 프로세스를 더이상 지원하는 것을 충분히 제어될 수 없게 하므로, 프로세스가 실패하였다. 본 반응기에서는, 전달된 전력이 소정의 레벨로 유지될 수 있는 부하 임피던스의 실제 부분의 범위가 증가되어, 프로세스 실패에 이르도록 하였던 플라즈마 임피던스를 충분히 변화시키고, 본 발명의 양태를 구현하는 반응기 상에 거의 또는 전혀 영향을 미치지 않는다. 즉, 본 발명은 반응기로 하여금 특정한 프로세스 또는 애플리케이션 동안의 동작 조건의 큰 변화를 견딜 수 있도록 한다. 또한, 이 반응기로 하여금 더 넓은 프로세스 조건을 포함하는 많은 상이한 애플리케이션에 이용될 수 있도록 하였으며, 이는 큰 이점이 된다.
다른 이점으로서, 넓은 임피던스 정합을 제공하는 축 스터브 (135) 은, 통상 의 임피던스 매팅 장치에 일반적으로 이용되는 가변성 커패시터/서보 또는 가변성 주파수/서보와 같은 "소모성 부품" 을 갖지 않는 간단한 패시브 장치이다. 즉, 이를 교체하는 임피던스 정합 장치보다 저렴하고 보다 신뢰성을 갖는다.
프로세스 윈도우를 넓게 하기 위한 동작 및 공진 주파수의 디-튜닝:
다른 양태에 따르면, 시스템 Q 가 감소되어, 스터브 공진 주파수, 전극 플라즈마 공진 주파수, 및 플라즈마 소스 전력 주파수를 서로 약간 오프셋함으로써, 프로세스 윈도우를 확장시킨다. 전술한 바와 같이, 스터브 공진 주파수는 스터브 (135) 의 축길이에서의 주파수가 반파장이고, 전극-플라즈마 공진 주파수는 전극 어셈블리 (126) 와 플라즈마가 함께 공진하는 주파수이다. 예시적인 실시예에서는, 스터브 (135) 를 공진 주파수가 220 MHz 이었던 길이로 절단하였고, RF 소스 전력 생성기 (150) 이 210 MHz 에서 동작되도록 선택되었으며, 전극-플라즈마 공진 주파수 결과는 약 200 MHz 이였다.
플라즈마 공진, 스터브 공진 및 소스 전력 주파수에 대하여 3 가지 모두 동일하게 주파수를 설정하는 것과 다르게 3 가지의 상이한 주파수를 선택함으로써, 시스템은 "디-튜닝" 되었다. 따라서, 저하된 "Q" 를 갖는다. 보다 높은 VHF 소스 전력 주파수의 이용은 (에칭이 유리한 동작 조건 하에서의 전극과 플라즈마 커패시턴스의 정합을 용이하게 하는 것과 함께) Q 를 감소시킨다.
시스템 Q 를 감소시키는 것은 시스템의 임피던스 정합 공간을 넓게 하여, 그 성능이 제조 오차로부터의 벗어나게 되고 플라즈마 조건이 변경하지 않도록 한다. 예를 들면, 전극-플라즈마 공진은 플라즈마 조건의 변동을 변화시킨다. Q 가 감소함에 따라, (본 명세서에서 이미 설명한 바와 같이) 임피던스 정합에 필요한 스터브 (135) 와 전극 플라즈마 결합 사이의 공진은 플라즈마-전극 공진의 주어진 변경에 대하여 적게 변화한다. 그 결과, 플라즈마 조건의 변동은 임피던스 정합에 대해 보다 적은 영향을 준다. 특히, 주어진 플라즈마 동작 조건의 일탈은 RF 생성기 (150) 의 출력에서 VSWR 가 보다 적게 증가한다. 따라서, 반응기는 보다 넓은 플라즈마 프로세스 조건의 윈도우 (압력, 소스 전력 레벨, 소스 전력 주파수, 플라즈마 밀도 등) 에서 동작할 수 있다. 또한, 제조 오차가 완화되어 비용을 절감하고, 동일한 모델 디자인의 반응기 중에서 보다 균일한 성능이 달성되는 현저한 이점을 갖게 된다. 관련된 이점은 동일한 반응기가, 도전체 에칭, 유전체 에칭 및/또는 화학적 기상 증착과 같은 상이한 프로세스 방법 및 상이한 애플리케이션을 동작하기 위해 유용한 현저하게 넓은 프로세스 윈도우를 가질 수 있다는 점이다.
프로세스 윈도우를 넓게 하기 위한 스터브 특성 임피던스의 최소화:
튜닝 공간을 넓게 하고 또는 시스템 Q 를 감소시키기 위한 다른 선택은, 스터브 (135) 의 특성 임피던스를 감소시키는 것이다. 그러나, 스터브 특성 임피던스는 생성기 출력 임피던스를 초과하여, 적절한 정합 공간을 보존하는 것이 바람직하다. 따라서, 스터브 (135) 의 특성 임피던스가 신호 생성기 (150) 의 출력 임피던스를 초과하여 양을 감소시키는 범위까지만으로 시스템 Q 은 감소되는 것이 바람직하다.
동축 스터브 (135) 의 특성 임피던스는 내부 및 외부 도전체 (140, 145) 의 반경 및 그 사이의 절연체 (147) 의 유전상수의 함수이다. 스터브 특성 임피던스는 플라즈마 전원 (150) 의 출력 임피던스와 전극 (135) 의 입력 임피던스 사이에 필수적인 임피던스 변형을 제공하도록 선택된다. 이 특성 임피던스는 최소 특성 임피던스와 최대 특성 임피던스 사이에 있다. 스터브 (135) 의 특성 임피던스를 변경하는 것은 도 2 의 파형을 변경하고, 따라서, 탭 (160) 의 소망의 위치 (즉, 스터브 (135) 의 말단으로부터의 배치, A) 를 변경한다. 스터브 (135) 의 허용가능한 최소 특성 임피던스는, 정재파 전류와 전압 사이에서 50 Ω 비율을 보기 위하여, 도 2 의 거리 (A) 가 탭 (160) 이 전극 (125) 과 반대되는 동축 스터브 (135) 의 말단 (135a) 상에 위치되도록 0 이 되는 것이다. 스터브 (135) 의 허용가능한 최대 특성 임피던스는, 정재파 전류와 전압 사이에서 50 옴 비율을 보기 위하여, 도 2 의 거리 (A) 가 탭 (160) 이 전극 (125) 에 근접하는 동축 스터브 (135) 의 말단 (135b) 상에 근접하게 되도록 스터브 (135) 의 길이와 동일하게 되는 것이다.
처음의 실시예에서는, 적절한 정합 공간을 제공하기 위하여, 동축 스터브 특성 임피던스를 RF 생성기 (150) 의 출력 임피던스보다 크게 선택하였다. 스터브 임피던스는 탭 포인트 (160) 위치가,
Zgen=a 2[Zstub 2/rplasma]
를 만족하도록 임피던스 정합 조건을 선택함으로써 이루어지기 때문에 RF 생성기 출력 임피던스를 초과하여야 하며,
여기서, a 는 탭 포인트의 위치로 결정되며 0 과 1 사이에서 변화한다. (전체 스터브 (135) 의 인덕턴스에 대한 단부 (135b) 와 탭 (160) 사이의 스터브 (135) 의 작은 부분의 인덕턴스의 비율에 대응). 1 을 초과할 수 없기 때문에, 스터브 특성 임피던스는 전술한 식을 해결하기 위하여 생성기 출력 임피던스를 초과해야 한다. 그러나, 시스템의 Q 가 스터브 특성 임피던스와 직접 비례하기 때문에, 스터브 특성 임피던스가 생성기 출력 임피던스를 초과하는 양은 Q 를 실제로 낮게 유지하도록 최소화된다. 예시적인 실시예에서, 스터브 특성 임피던스는 생성기 출력 임피던스를 약 15 Ω정도만 초과한다.
그러나, 다른 실시예에서, 동축 스터브 특성 임피던스는 플라즈마 전원 (생성기) 출력 임피던스보다 낮게 선택되어, 임피던스 정합 시의 몇몇의 감소에 의해 더 큰 전력 효율을 달성하게 된다.
스터브의 임피던스 변형에 의해 제공되는 증가된 전력 효율:
명세서에서 이미 설명한 바와 같이, 바람직한 플라즈마 에칭 프로세스는 매우 작은 실제 (저항성) 부분 (예를 들면, 0.3 오옴 미만) 및 작은 가상 (반응성) 부분 (예를 들면, 7 오옴) 을 갖는 플라즈마 동작 조건 (예를 들면, 플라즈마 밀도) 을 유발한다. 전극 커패시턴스가 반응기의 부분에 전력 플로우에 대한 지배적인 임피던스이기 때문에, 용량 손실은 시스템의 전극 플라즈마 영역 결합에 지배적이다. 따라서, 전극-플라즈마 결합의 전력 손실은 전극-플라즈마 결합 상의 전압에 비례한다. 이와 반대로, 유도성 및 저항성 스터브 (135) 는 스터브 (135) 의 전력 흐름에 대한 임피던스의 우세한 요소이기 때문에 유도 손실 및 저항 손실은 스터브 (135) 에서 지배적이다. 따라서, 스터브 (135) 의 전력 손실은 스터브의 전류에 비례한다. 스터브 특성 임피던스는 전극-플라즈마 결합으로 표현되는 임피던스의 실제 부분보다 매우 크다. 따라서, 높은 임피던스 스터브 (135) 에서는, 전압이 보다 높게 되고, 전류가 하부 임피던스 플라즈마에서 보다 낮게 되어, 전류가 높게 되고 전압이 낮게 될 것이다. 따라서, 스터브 (135) 와 플라즈마-전극 결합 사이의 임피던스 변형은 보다 높은 전압 및 보다 낮은 전류를 (저항 손실 및 유도 손실이 우세하고 이들이 지금은 최소화되는) 스터브 (135) 에 제공하고, 이와 대응하여 보다 낮은 전압 및 보다 높은 전류를 (용량 손실이 우세하고 이들이 지금은 최소화되는) 플라즈마/전극에 제공한다. 이 방법으로, 시스템의 전체 전력 손실은 전력 효율이 크게 개선되도록 최소화되며, 이는 현저한 이점이다. 예시적인 실시예에서, 전력 효율은 약 95 % 이상이다.
따라서, 전술한 바와 같이 구성되는 스터브 (135) 은, 동작 조건의 매우 넓은 범위 또는 윈도우에 걸쳐, 생성기와 전극-플라즈마 임피던스 사이에 임피던스 정합 또는 변형을 제공할 뿐 아니라, 또한 전력 효율의 현저한 향상을 제공한다.
상호-접지:
웨이퍼 표면의 이온 에너지는 플라즈마 밀도/상위 전극 전력을 개별적으로 제어할 수 있다. 이러한 개별적인 이온 에너지의 제어는 HF 주파수 바이어스 전원을 웨이퍼에 인가함으로써 달성된다. 이 주파수 (통상 13.56 MHz) 는 플라즈마 밀도를 제어하는 상부 전극으로 인가되는 VHF 전력보다 현저하게 낮다. 통상의 임피던스 정합 회로 (210) 를 통해 웨이퍼 지지부 (105) 와 결합된 바이어 스 전력 HF 신호 생성기 (200) 에 의하여, 바이어스 전력을 웨이퍼에 인가한다. 바이어스 생성기 (200) 의 전력 레벨은 웨이퍼 표면 주변의 이온 에너지를 조절하고, 통상 플라즈마 소스 전력 생성기 (150) 의 전력 레벨의 마찰이다.
전술한 바와 같이, 동축 스터브 (135) 은 외부 스터브에 단락 도전체 (165) 를 포함하여, 내부 및 외부 동축 스터브 도전체 (140, 145) 사이에 단락 회로를 제공한다. 단락 도전체 (165) 는 도 2 에서와 같이 VHF 정재파 전류 피크 및 VHF 정재파 전압 널의 위치를 설정한다. 그러나, VHF 소스 전력 주파수에 또는 그 주변에서의 스터브 공진과 플라즈마/전극 공진의 결합으로 인하여, 단락 도전체 (165) 가 VHF 인가 전력을 쇼트 아웃하지 않는다. 그러나, 웨이퍼에 인가되는 HF 바이어스 전원과 같이, 도전체 (165) 는 외부 주파수에 대한 접지에 대하여 직접적인 단락으로서 나타난다. 또한, 플라즈마 시스에서 생성되는 VHF 소스 전력 주파수의 고조파와 같은 보다 높은 주파수를 쇼트아웃한다.
웨이퍼 (110) 와 웨이퍼 지지부 (105) 의 결합체, 이에 접속되는 HF 임피던스 정합 회로 (210) 와 HF 바이어스 전원 (200) 은 상부 전극 (125) 에 인가되는 VHF 전력에 대해 접지하기 위한 매우 낮은 임피던스 또는 단락을 제공한다. 그 결과, 시스템은 상호접지되어, HF 바이어스 신호가 리턴되며 상부 전극 (125) 과 접지된 동축 스터브 (135) 을 통하여 접지되고, 상부 전극 (135) 상의 VHF 전력 신호가 웨이퍼, HF 바이어스 임피던스 정합 (210) 및 HF 바이어스 전력 생성기 (200) 를 통하여 (VHF 에 대하여) 매우 낮은 임피던스 경로를 통하여 접지된다.
웨이퍼면과 상부 전극 (125) 면 사이의 챔버 측벽의 노출된 부분은 전극 (125) 의 넓은 영역과 전극과 웨이퍼 사이의 비교적 작은 갭으로 인하여 상부 전극 (125) 에 인가되는 VHF 전력에 대한 다이렉트 리턴 경로로서 거의 기능하지 않는다. 사실상, 챔버의 측벽은 자기 고립 또는 유전체 코팅 또는 원형 유전체 인삽입 또는 제거가능한 라이너에 의하여 플라즈마로부터 고립될 수 있다.
전극과 페디스털 간의 수직 경로에 있고 측벽과 같은 챔버 (100) 의 다른 부품으로부터 멀리 있는 상부 전극 (125) 으로부터 발산되는 VHF 플라즈마 소스 전력의 전류를 제한하기 위하여, 웨이퍼 (110) 면의 유효 접지 영역 또는 리턴 전극 영역은 웨이퍼 또는 웨이퍼 지지부 (105) 의 물리적인 면적을 초과하여 확장되어, 상부 전극 (125) 의 면적을 초과하게 된다. 이는 웨이퍼 (110) 를 둘러싸고 통상 동일 평면이 될 수도 있는 도전체 또는 반도체 링 부분에 의하여 달성되는 원형 프로세스 키트 (115) 설비이고, 접지된 챔버 몸체에 표유 커패시턴스를 제공한다. 이는 상부 전극으로부터의 VHF 전력 신호에 대한 웨이퍼 (110) 면의 "리턴" 전극의 유효 반경을 연장한다. 예시적인 실시예에서, 프로세스 키트 (115) 의 도전성 또는 반도체성 링 부분은 프로세스 키트 (115) 의 절연체링 부분 (120) 에 의하여 접지되는 챔버 몸체로부터 절연된다. 절연체링 (120) 의 두께 및 유전 상수는 웨이퍼 (110) 및 프로세스 키트 (115) 의 도전성 또는 반도체성 링 부분을 통과하는 VHF 접지 전류의 소망의 비율을 달성하도록 선택된다.
웨이퍼의 표면과 전극 (125) 사이의 수직 경로 내의 바이어스 생성기 (200) 로부터의 HF 플라즈마 바이어스 전력으로부터 전류를 제한하고 챔버의 다른 부분 (예를 들면, 측벽) 으로의 전류를 회피하기 위하여, 상부 전극 (135) 은 웨이퍼 또 는 웨이퍼 지지부 (105) 의 면적보다 매우 넓은 유효 HF 리턴 전극 영역을 제공한다. 웨이퍼 지지부 (105) 의 프로세스 키트 (115) 의 링 부분은 챔버로 HF 바이어스 전력을 연결하는 기능을 하지 않으므로, HF 바이어스 전력을 연결하기 위한 유효 전극 면적은 웨이퍼와 웨이퍼 지지부 (105) 의 면적으로 필수적으로 한정된다.
플라즈마 안정성의 개선:
스터브 (135) 의 배면에 내부 및 외부 스터브 도전체 (140, 145) 를 가로질려 연결되는 단락 도전체 (165) 로의 플라즈마의 D.C. 커플링을 제거하여 플라즈마 안정성을 향상시켰다. 이는 동축 스터브 내부 도전체 (140) 외 전극 (125) 사이에 얇은 용량성 링 (180) 의 설치에 의해 달성된다. 도 1 의 실시예에서, 링 (180) 은 하부 상의 전극 (125) 과 도전성 고리형 내부 하우징 지지부 (176) 사이에 개재된다. 여기서 설명되는 예시적인 실시예에서, 용량성 링 (180) 은 약 13 MHx 로 선택되는 바이어스의 주파수에 따라서 약 180 피코패럿의 커패시턴스를 가졌다. 커패시턴스의 값에 의하여, 커패시턴스 (180) 는 전술한 상호 접지 형상을 방해하지 않는다. 상호 접지 형상에서, 웨이퍼 페디스털 상의 HF 바이어스 신호는 스터브 (135) 을 경유하여 HF 바이어스 생성기 (150) 의 RF 리턴 단자로 리턴되고, 전극 (125) 으로부터의 VHF 소스 전력 신호는 웨이퍼 페디스털을 경유하여 VHF 소스 전력 생성기 (150) 의 RF 리턴 단자로 리턴된다.
도 5 는 주파수의 함수에 따른 VHF 전원과 상부 전극 (125) 사이의 반사 계수를 나타내는 그래프이다. 이 그래프는 반사율이 6 dB 이하인 매우 넓은 주파 수의 밴드의 존재를 도시하며, 전술하였던 매우 이로운 낮은 시스템 Q 를 나타낸다.
도 6 은 탭 (160) 이 스터브의 단락 말단으로부터의 도 2B 의 거리 (A) 에 위치되는 동축 스터브 (135) 에 따른 위치의 함수에 따른, 정재파 전류를 나타낸다.
도 7 은 상부 전극 (125) 주변의 니어(near) 스터브 말단 (135b) 의 보다 큰 반경과 파(far) 스터브 말단 (135a) 의 보다 작은 반경을 갖고 동축 스터브 (135) 의 내부 도전체 (140) 가 테이퍼되는 반응기의 다른 실시예를 나타낸다. 이 특성은, 탭 (160) 에서의 동축 스터브 (135) 으로 나타나는 낮은 임피던스 (예를 들면, 50 W) 와 상부 전극 (125) 의 동축 스터브 (135) 으로 나타나는 높은 임피던스 (예를 들면, 64 W) 사이의 전환을 제공한다. 또한, 도 7 에 나타낸 바와 같이, 스터브 (135) 은 구부러질 필요가 없이 직선이 될 수 있다.
전술한 설명으로부터, HF 전력 공급기가 아닌 VHF 플라즈마 소스 전력 RF 공급기에 의하여 구동되는 상부 전극을 갖는 용량성 결합 반응기와 관련되는 챔버를 이해할 수 있다. HF 주파수와 다르게 VHF 소스 전력 주파수에서, 플라즈마에 의한 공진을 위하여 상부 전극을 튜닝하는 것이 실제적이며, 달성하기 어려운 안정성 및 유효성과 많은 다른 이점에 도달한다는 것을 발견하였다.
전술한 실시예의 VHF 용량 결합형 플라즈마 반응기는 매우 높은 에칭 선택성 및 유효성의 이점을 갖는다. 매우 큰 유효성은 반응기에 비교적 높은 밀도의 플라즈마를 생성하는 능력을 부여하여, 유도 결합형 반응기에서 달성되는 것과 경 쟁의 관계에 있도록 한다. 아직도, VHF 용량 결합형 반응기는 유도 결합형 반응기에 비해 더욱 우수한 에칭 선택성을 나타낸다. 이는 VHF 용량 결합형 반응기가 프로세스 가스종의 매우 적은 잔류 시간을 나타내어 (유도 결합형 반응기에 비하여) 불소와 같은 휘발성종의 적은 분해를 체험하기 때문이다.
높은 장치 속도를 달성하기 위하여 반도체 장치 형상은 마이크로 전자 산업에 의해 지속적으로 감소되었다. 장치 크기 또는 형상의 이러한 감소는 예를 들면 장치 구조 내의 접촉홀의 애스펙트 비를 증가시킨다. 그 결과, 에칭 프로세스는 보다 큰 에칭 속도 및 에칭 선택성을 동시에 갖는다. 그러나, 실리콘 디옥사이드를 달성하기 위하여, 10 : 1 의 실리콘 옥사이드와 포토레지스트 간의 에칭 선택성에 의한, 또한 고효율 VHF 용량 결합형 플라즈마 반응기에 의한 분당 9000 Å 과 같은 고 에칭 속도는 비현실적이다. 이는 이러한 성능이 반응기가 제조하는 웨이퍼 또는 워크피스 표면에 걸친 플라즈마 분포 균일성의 현저한 개선을 필요로 하기 때문이다. 한편, 장치 형상이 수축함에 따라, 프로세서는 (보다 높은 플라즈마 이온 밀도 영역의) 오버에칭 또는 조기의 에칭 정치로 인하여 실패 가능성이 있게 된다. 오버 에칭을 회피하기 위하여, 전체 플라즈마 밀도를 감소시켜 에칭 속도를 감소시킨다. 따라서 플라즈마 이온 분포 균일성을 향상시키기 위한 방법을 찾을 필요성이 매우 증가되었다.
플라즈마 이온 밀도 분포 균일성이 VHF 용량 결합형 반응기에서 개선될 수 있다면, 우수한 에칭 선택성 및 고 에칭 속도가 동일한 반응기에서 구현될 수 있다.
이러한 불균일성 플라즈마 밀도 분포를 극복한 하나의 타입의 반응기가 MERIE 플라즈마 반응기이다. 통상, MERIE 반응기는, HF 주파수 RF 소스 전력이 웨이퍼 지지용 페디스털에 제공되고 챔버 천정 또는 측벽을 통하여 리턴되는 용량성 결합 반응기이다. 주요 형상은 워크피스 면에 걸쳐 플라즈마를 순환시키거나 교반하는 느리게 순환하는 자기장을 생성하는 전자석 어레이이다. 교반 동작은 워크피스 표면에 걸쳐 높은 균일한 분포의 플라즈마 밀도를 제공한다. 그러나, 효과적이지 않기 때문에 MERIE 반응기는 높은 플라즈마 이온 밀도와 VHF 용량 결합형 반응기의 높은 에칭 속도를 제공하지 않는다. 또한, MERIE 반응기는 여러가지 문제를 제공한다.
(1) MERIE 반응기는 웨이퍼 지지 페디스털 내의 금속 공급 라인과 웨이퍼 지지 페디스털 사이에서 아크가 발생하기 쉽다. 이와 같은 아크 발생은 플라즈마 이온 생성으로부터의 플라즈마 소스 전력을 전환시키므로, 플라즈마 이온 밀도와 에칭 속도의 제어를 악화시킨다. 매우 작은 장치 형상으로 인하여 에칭 속도가 중요하지 않은 프로세스에서는, 이러한 제어 손실이 프로세스 실패에 이르게 할 수도 있다.
(2) MERIE 반응기는 워크피스 상의 장치 손상을 촉진할 수도 있는 이러한 강력한 자석 어레이 (10 내지 100 Gauss) 를 이용해야 한다. 플라즈마를 순환시킴과 동시에, 워크피스 주변의 충분한 플라즈마 밀도를 생성하기 위하여, 이러한 강력한 자계은 필수적이다. 이러한 강력한 자계는 플라즈마 또는 웨이퍼에 근접하는 플라즈마 시스에 대한 플라즈마의 중요 부분을 고정하는 것이 필요하다.
그러나, MERIE 반응기의 주요 단점은 VHF 용량 결합형 반응기가 쉽게 제공하는 높은 플라즈마 밀도를 유지할 수 없다는 점이다. 즉, 높은 플라즈마 이온 밀도와 높은 에칭 선택성이 가능한 반응기 (예를 들면, VHF 용량 결합형 반응기) 는 매우 균일한 플라즈마 이온 밀도 분포를 제공할 수 없다. 또한, 우수한 플라즈마 이온 밀도 분포 균일성을 갖는 반응기 (예를 들면, MERIE 반응기) 는, 높은 플라즈마 이온 밀도를 생성할 수 없어야 한다.
용량성 플라즈마 반응기로 프로세스 가스를 제공하기 위한 우수한 방법은, 상부 천정을 통해 프로세스 가스를 투입하는 것이다. 현재의 용량상 커플링 플라즈마 반응기에는 상부 천정이 동축 스터브 또는 등가의 임피던스 정합 엘리먼트를 통해 VHF RF 전력 생성기와 결합되는 소스 전력 전극이다. 천정으로부터 프로세스 가스를 주입하기 위하여, 천정 전극은 또한 "샤워헤드" 이며, 도전성층은 프로세스 가스를 투입하고 이를 통과하는 작은 가스 주입구 세트를 갖는다. 몇몇 경우, 플라즈마 방전 또는 "아킹" 은 천정의 가스 주입 포트 내부에서 발생한다. 이는 가스 주입 포트의 내부면으로부터의 샤워헤드 전극 또는 샤워헤드 재료를 제거하는 플라즈마 방전 위험을 지닌다. 따라서, 플라즈마로 주입되는 이 종 (예를 들면, 금속종) 은 웨이퍼 또는 워크피스 표면을 오염시킬 수 있고, 그 상부에 제조되는 마이크로 전자 장치에 손상을 줄 수 있다.
따라서, 가스 주입구 (또는 벌크 플라즈마의 외부) 내부, 특히, VHF 플라즈마 소스 RF 전력 공급기에 접속되는 상부 전극/가스 분포 샤워헤드 결합을 갖는 플라즈마 반응기 에서 플라즈마를 점화하는 경향을 저감 또는 제거할 수 있다.
상부 전극은 특히 바이어스 전력을 위한 애노드와 소스 전력을 위한 캐소드이고 RF 및 DC 전류를 제공하기 때문에 플라즈마 접촉에 의한 마모가 발생될 수 있다. 샤워헤드 전극을 통과하는 이러한 직접적인 전류 통과를 회피하거나 전극과 플라즈마의 직접적인 접촉을 회피하는 방법을 발견할 수 있다면 반응기를 동작시키는 비용은 감소될 수 있다.
통상 플라즈마 반응기에서 발견되는 문제는 플라즈마 시스 내부의 제 2 및 제 3 고조파의 생성이다. 본 반응기에서는, 플라즈마 VHF 소스 전력이 상부 전극에 의해 인가되고, 플라즈마 전력이 웨이퍼 지지 페디스털 상에 HF 신호에 의해 인가된다. HF 주파수에서 대부분의 RF 전력이 시스에서 소모되며, 잔여물은 벌크 플라즈마를 갖는다. 플라즈마 시스는 비선형이므로 웨이퍼 지지 페디스털에 인가되는 HF 신호의 2차 및/또는 3차 고조파를 생성한다. 이러한 하모닉의 출현은 프로세스 성능이 이러한 고조파의 출현시 감소되는 방식으로 플라즈마 거동을 변경한다. 특히, 에칭 정지 또는 오버 에칭을 회피하기 위한 프로세스 제어가 보다 어렵게 되고, 에칭 속도 또한 감소된다. 플라즈마에 영향을 미치는 고조파의 생산을 감소시키는 것이 바람직하다.
본 반응기에서, 길이가 VHF 소스 전력 신호의 파장과 관련되는 동축 튜닝 스터브는 반응기의 잔여물보다 큰 풋프린트를 가질 수 있다. 따라서, 동축 터닝 스터브의 이점 중 어느 것을 손상시키지 않고 풋프린트를 감소시킬 수 있는 것은 이점이 된다.
MERIE 반응기의 특정 형체를 도 1 내지 7 의 VHF 용량 결합형 반응기의 결합 은 이들 각각에 대해 위에서 열거된 문제를 해결하고, 이러한 결합이 2 가지 종류의 반응기의 모든 장점을 가지며 단점을 갖지 않게 된다. 이 결합에 따른 반응기는 도 1 내지 도 7 의 VHF 용량 결합형 반응기에 MERIE 자석의 어레이를 추가하여 구현된다. MERIE 자석에 의한 플라즈마의 순환은 VHF 반응기의 플라즈마 이온 분포 불균일성 문제를 해결한다. 그러나, MERIE 반응기는 프로세스 가스가 샤워헤드 가스 분배판 또는 샤워헤드로부터 공급되는 것을 필요로 한다. 본 명세서에서 후술되는 바와 같이 도 1 내지 7 의 VHF 용량 결합형된 반응기의 가스 분배판을 제공하는 단계는 이와 같은 반응기의 고 플라즈마 밀도로 인한 문제를 유발한다. 특히, 이러한 반응기는 가스 분배판의 주입 포트 내의 아크를 발생시킨다. MERIE 반응기와 VHF 용량 결합형 반응기의 소망의 결합을 달성하기 위하여, 가스 주입 포트의 아크 발생 문제가 해결되어야 하며, 그 해결 방법은 본 명세서 후반에서 설명된다.
이 결합의 놀라운 결과 중 하나는 도 1 내지 7 의 VHF 반응기의 높은 효율성이 2 이상의 요인에 의해 MERIE 자석의 자계를 감소시킬 수 있는 높은 플라즈마 밀도를 생성한다는 점이다. 특히, MERIE 자계는 약 10 내지 100 Gauss 의 범위에 있어야 한다. 그러나, VHF 용량 결합형 반응기에서, 플라즈마 이온 밀도가 많이 커지기 때문에, MERIE 자계는 절반의 자계인 약 30 내지 60 Gauss 만으로 동일한 플라즈마 분포 균일성을 달성할 수 있다. 이는 MERIE 자기장이 MERIE 자기장의 순환에 의하여 교반되는 웨이퍼 상의 플라즈마 시스 주변으로 동일한 양의 플라즈마를 끌어내는 작업을 적게 해도 되기 때문이다. 따라서, 보다 적은 자기 장이 플라즈마 이온 밀도 분포의 동일한 최적 균일성을 제공할 수 있다. 자기장의 감소는 플라즈마 이온에 의해 유발되는 장치의 손상량을 감소시킨다. MERIE 자기장의 50 % 에 의한 감소는 장치 손상을 감소시킬 뿐 아니라 이를 필수적으로 제거한다. 이는 통상의 MERIE 반응기가 쉽게 손상되는 문제를 해결한다.
다른 놀라운 결과는, 전술한 바와 같이, VHF 소스 전력이 통상의 MERIE 반응기에 영향을 주는 웨이퍼 지지 페디스털에 아크 발생을 방지하는 것이다. 이는 MERIE 반응기의 프로세스 제어를 차례로 크게 향상시킨다. 이와 같은 아크는 웨이퍼 지지 페디스털과 웨이퍼 페디스털 내부 또는 하부의 금속 가스 또는 냉매 공급 라인 사이에서 발생된다.
예시적인 실시예에서, VHF 소스 전력 주파수는 MERIE 자석과 결합되는 사이클로트론 공진 주파수이다. 이는 MERIE 자석의 자기장으로 인한 플라즈마 전자의 전자 사이클로트론 공진 형성을 방지하여, 이온 발생으로부터 VHF 소스 전력을 분리할 수 있고, 따라서, 플라즈마 이온 밀도 상의 제어를 억제한다. VHF 소스 전력 주파수는 도 1 내지 7 을 참조하여 전술한 바와 같이 선택됨으로써, 플라즈마 주파수를 상부 전극의 공진 주파수 또는 그 주변이 되도록 한다. 이 주파수는 MERIE 자석의 자계 (예를 들면, 30 Gauss) 과 결합된 상기 사이클로트론 공진 주파수이다. 전자 사이클로트론 주파수는,
qB/(Me2π)
으로 정의되며,
여기서, q 는 전하량이며, B 는 MERIE 자석 어레이의 자기장이며, Me 는 전자 질량이다. 이 식은 사이클로트론 주파수가 자기장에 비례하는 것을 보여주며, 이는 VHF 소스 전력의 이용에 의하여 이롭게 구현되는 것을 나타낸다. 전술한 바와 같이, 도 1 내지 7 의 VHF 용량 결합형 반응기는 MERIE 자기장이 VHF 반응기에 이용될 때 극적으로 감소 될 수 있는 통상의 MERIE 반응기보다 높은 플라즈마 밀도를 생성할 수 있다. 이는 전술한 바와 같이 장치 손상의 큰 감소를 유발할 뿐 아니라, 또한 전자 사이클로트론 공진 주파수를 VHF 소스 전력 주파수 이하로 감소시킨다. 일 실시예에서, MERIE 자석과 결합되는 사이클로트론 공진 주파수는 약 150 MHz 로 계산되며, 상부 전극 공진 주파수와 플라즈마 공진 주파수를 정합하여 생성된 플라즈마 공진 주파수는 약 176 MHz 이였다. 다른 실시예에서, VHF 소스 전력 주파수는 사이클로트론 공진 주파수 이상으로 200 MHz 를 초과한다.
MERIE 자석과 도 1 내지 도 7 의 VHF 용량성 결합된 플라즈마 반응기의 조합은,
(1) VHF 소스 전력 레벨에 의해 제어되는 플라즈마 이온 농도
(2) 웨이퍼 지지 페디스털 (pedestal) 에 인가되는 HF 바이어스 전력 레벨에 의해 제어되는 웨이퍼 표면의 이온 에너지
(3) MERIE 자석의 자기 필드에 의해 제어되는, 플라즈마 이온 분포의 균일 정도인 세 가지의 제어 가능한 파라미터를 가지는 플라즈마 반응기가 된다.
이러한 반응기는 현저한 성능을 가진다. 특히, 이 반응기는, 4000 와트의 VHF 소스 전력을, 250 MHz 이하의 VHF 주파수 및 약 1 mT에서 3000 mT 범위의 챔버 압력에 대해 약 95%의 효율을 가지는 플라즈마에 결합할 수 있다.
이러한 MERIE VHF 반응기에서, 프로세스 가스는 오버헤드 VHF 소스 전력 전극을 통해서 가장 잘 도입될 수 있다. 이를 위하여, 오버헤드 전극을 통한 작은 가스 주입 노즐이나 포트의 어레이를 제공함으로써, 오버헤드 전극에는 가스 공급 샤워헤드의 기능이 주어진다. 프로세스 가스는 동축 튜닝 스터브의 중심 도전체를 통해 이들 주입구에 공급된다. 중심 도전체는 오버헤드 전극에 결합되어 있으므로, 프로세스 가스의 공급은 플라즈마와 전계로부터 완전히 보호된다.
특성들의 어떤 조합을 통해 상기의 모든 이점들을 유지하면서, 아크 및 다른 잠재적인 문제들은 회피되며, 특성 중 하나는 VHF 튜닝 스터브로부터 오버헤드 전극을 용량적으로 격리함으로써, 오버헤드 전극을 부동 D.C. 전위에 놓는 것이다. 이는 동축 튜닝 스터브와 오버헤드 전극 사이에 유전체 막을 위치시킴으로써 이루어진다. 이 특성은 D.C. 플라즈마 전류가 오버헤드 전극을 경유하여 튜닝 스터브를 통해 돌아오는 것을 막으며, 그럼으로써 오버헤드 전극의 가스 주입구 내의 아크를 감소시킨다.
아크를 감소시키는 다른 특성은, 플라즈마와 오버헤드 전극 사이에 커패시턴스를 제공하는 것이다. 이를 위해, 플라즈마와 면하는 오버헤드 전극의 전극 표면상에 유전체 층이 형성된다. 바람직하게는, 이는 이 전극 표면, 특히 전극 내 가스 주입구의 내부 표면을 양극산화 함으로써 이루어진다. 이 특성은 오버헤드 전극의 가스 주입구 내에서의 플라즈마 아크를 방지하는데 도움을 준다. 그 이유 중 하나는, 양극 처리된 전극 표면의 커패시턴스가, 플라즈마로부터의 RF 전류의 일부 전하를 전극 표면으로 통과시키지 않고 저장시키는 전하 저장 능력을 제공하기 때문이다. 그러므로 전하가 오버헤드 전극의 가스 입구 포트의 표면으로부터 전환된다는 점에서, 플라즈마 점화가 회피된다.
오버헤드 전극의 가스 주입구 내의 플라즈마 아크를 피하는데 더해, 오버헤드 전극을 용량적으로 격리하는 특성은, 플라즈마와 전극 사이에 네트 (net) D.C. 전류를 발생하지 않으므로, 전극의 사용 수명을 증가시키며, 이는 중요한 장점이다.
가스 주입구 내의 플라즈마 아크의 위험을 더 감소시키기 위해, 동축 스터브와 튜닝 스터브 사이에 다른 특성, 즉, 금속 또는 세라믹 "폼 (foam)" 층이 도입된다. 일 실시형태에서, 금속폼 층은 오버헤드 전극과 대체로 동일한 지름을 갖는다. 금속폼 층은 본 기술분야에서 잘 알려진 상업적으로 입수할 수 있는 것이며, 대체로 임의 셀 구조를 가지는 알루미늄 매트릭스로 구성된다. 금속폼 층의 이점은, 전극 부근 (즉, 오버헤드 전극 위의 플레넘 (plenum) 내부) 의 전계를 억제함으로써 오버헤드 전극 내 가스 주입구 내부에서 플라즈마의 아크 경향을 감소시킨다는 점이다.
금속폼 층은, 오버헤드 전극의 가스 주입구 배열간에 균일한 가스 분포를 이루기 위해 인입 프로세스 가스를 차폐하기 위해서도 채용된다. 바람직하게는, 오버헤드 천정의 가스 주입구나 주입구는 방사상 내부 그룹과 방사상 외부 그룹으 로 나누어진다. 한 금속폼 층은 제 1 가스 공급과 포트의 외부 그룹간의 가스를 차폐하고, 다른 금속폼 층은 제 2 가스 공급과 포트의 내부간의 가스를 차폐한다. 두 가스 공급의 가스 흐름 속도를 독립적으로 조절함으로써 프로세스 가스 흐름의 방사상 분포가 조절될 수 있다.
위에서 참조한 부(patent) 출원에서 설명된 바와 같이, 동축 튜닝 스터브 및 오버헤드 전극은, 웨이퍼 지지 페디스털에 인가되는 HF 바이어스 전력에 대해 접지로의 저 임피던스 RF 복귀 경로를 제공한다. 그러나, 동축 튜닝 스터브와 오버헤드 전극 사이에 삽입된 새로운 용량성 유전체 층은, 오버헤드 전극을 통한 복귀 HF 경로를 특정 HF 주파수로 튜닝하기 위해 사용될 수 있음이 밝혀졌다. (오버헤드 전극 상의) VHF 소스 전력 주파수 선택의 장점 중 하나는, (오버헤드 전극과 튜닝 스터브 사이의) 용량성 층이 HF 주파수에 튜닝 된다면, 용량성 층은 VHF 주파수의 광대역에 대해 전기적으로 단락이므로 오버헤드 전극에 인가되는 VHF 신호에 영향을 주지 않는다는 점이다.
먼저, 추가된 용량성 층에 의해 RF 복귀 경로가 튜닝된 좁은 HF 주파수 통과 대역은, 웨이퍼 지지 페디스털에 인가된 HF 바이어스 소스 전력의 주파수에 중심을 둔다. 그러나, 시스 생성된 고조파의 문제는, 오버헤드 전극을 통한 HF 복귀 경로를 HF 바이어스 전력 신호의 제 2 고조파에 튜닝 시키도록 이 커패시턴스를 선택함으로써 해결될 수 있다. 이 선택의 결과는, 오버헤드 전극 부근의 플라즈마 피복에서 생성된 HF 제 2 고조파가, 벌크 플라즈마에 큰 영향을 줄 수 있기 전에, 오버헤드 전극을 통해 접지로 분로 (shunt) 되는 것이다. 일 실시형태에서, 에치 레이트는 이 특성에 의해 10%에서 15% 향상됨이 밝혀졌다. 이 경우, HF 바이어스 신호의 기본파는, 챔버 측벽과 같은 사용 가능한 다른 RF 경로를 통해 접지로 리턴되는 것으로 생각된다.
아래에서 상세히 설명하는 바와 같이, 선택된 HF 주파수에서의 공진을 위한, 이 추가된 용량성 층 (오버헤드 전극과 튜닝 스터브 사이의) 의 커패시턴스 선택은, 오버헤드 전극의 얇은 플라즈마 피복의 커패시턴스뿐만 아니라 웨이퍼 지지 페디스털의 두꺼운 플라즈마 시스의 커패시턴스도 고려하여야 한다.
챔버 내부를 주기적으로 완전히 건조 세척 (dry-clean) 하는데 이용될 수 있도록, 본 발명의 고 효율 VHF 플라즈마 소스는 충분히 고 농도의 플라즈마를 유지할 수 있다. 이 명세서에서 채용된 바와 같이, "건조 세척" 이라는 용어는 액체 화학 약품의 사용을 필요로 하지 않고 플라즈마의 사용만을 필요로 하여, 진공 밀폐가 열릴 필요가 없는 세척 과정을 지칭한다. 이러한 방법으로 챔버에서 중합체가 완전히 세척될 수 있으므로, 웨이퍼 프로세스동안의 챔버 표면은 그 위의 어떤 중합체 침전물도 계속하여 증발되도록 충분히 높은 온도로 유지되어, 프로세스 동안 챔버는 적어도 거의 중합체 침전물이 없도록 유지된다. (반면, 완전히 세척될 수 없는 반응기에 대해서는, 프로세스의 오염을 피하기 위해, 챔버 벽 표면의 중합체 침전물이 제거되기보다는 계속하여 부착되도록 플라즈마 상태가 조절되어야 한다.) 이를 위해, 오버헤드 전극 집합은 오버헤드 전극을 가열하거나 냉각하기 위한 액체를 도입하는 통로를 포함하여, 그 외부 표면의 온도 조절을 가능케 한다. 바람직하게는, 플라즈마 상태 (이온 에너지, 벽 온도 등) 는, 프로세 스 동안 챔버 표면에 중합체가 누적되지 않는 상태이다. 어떠한 작은 누적도 세척 중에 완전히 제거된다.
이런 특성의 이점 중 하나는, 프로세스 동안 깨끗하게 또는 중합체 침전물이 없도록 유지되므로, 오버헤드 전극 상에 또는 이에 인접하여 시각적 창이 제공될 수 있다는 점이다. 그러므로, 반응기의 동작이 시각적으로 모니터 될 수 있다. 따라서, 오버헤드 전극은, 챔버 외부의 센서와 연결되기 위해 위쪽으로 연장된 광섬유 케이블과 함께, 선택적으로 그 중심 부근에 시각적 윈도우를 구비할 수 있다. 플라즈마 프로세스의 시각적 모니터링은 종점 검출을 수행하기 위해 채용될 수 있다. 예를 들어, 시각적 모니터는, 종래의 시각적 측정 기술을 사용하여, 플라즈마 에치 프로세스에서의 층 두께 감소 또는 플라즈마 지원된 화학적 증착 과정에서의 층 두께 증가를 측정할 수 있다.
플라즈마에 들어가 결국 웨이퍼나 워크피스에 도달하는, 오버헤드 전극의 노출 표면의 물질로부터의 오염 문제를 해결하기 위해, 오버헤드 전극의 하부 (플라즈마에 면하는) 표면상에 추가적인 외부 층이 도입된다. 이 추가적 외부 층은 수행되고 있는 특정 프로세스과 호환되는 물질로 형성된다. 예를 들어, 실리콘 디옥사이드 에칭 프로세스에서, 오버헤드 전극 상의 외부 층은 실리콘 또는 실리콘 카바이드이다. 선택적으로, 이 외부 층의 배치 전에, 오버헤드 전극 플라즈마 대면 표면은 상기한 바와 같이 양극산화 된다.
본 발명의 또 다른 발견은, 플라즈마가 일찍이 기대했던 것 보다 더 큰 저항성 부하 임피던스 변화 및 더 작은 반응성 부하 임피던스 변화를 보인다는 것이다. 특히, 반응성 부하 임피던스가 (35% 대신) 단지 20% 만 변할 수 있는 반면, 저항성 부하 임피던스는 (60:1 대신) 100:1 만큼 변화할 수 있다. 이 차이가 동축 튜닝 스터브의 특성 임피던스가 65 오옴 (RF 발전기의 50 오옴 출력 임피던스 이상) 에서 30 오옴 (RF 발전기의 출력 임피던스 미만) 으로 감소될 수 있도록 한다. 이 감소에 의해, 효율 면에서의 아주 작은 양보로 튜닝 공간의 비례적 증가가 이루어진다. 특히, 동축 스터브 특성 임피던스의 감소에 의해, 튜닝 스터브에 의해 정합 될 수 있는 플라즈마 저항성 부하 임피던스의 변화 범위는 60:1 (부 출원에서와 같은) 에서 100:1로 증가된다. 위에서 참조된 부 출원에서 알 수 있듯이, 동축 스터브의 특성 임피던스는 그 내부 및 외부 도전체의 반지름에 의해 결정된다.
동축 튜닝 스터브의 풋프린트를 감소시키기 위해, 그 대신에 등가 스트립 라인 회로가 대체된다. 동축 튜닝 스터브의 중심 도전체가 스트립 라인 도전체가 되는 반면, 금속 리드가 반응기를 캐핑 (capping) 하므로, 동축 튜닝 스터브의 외부 도전체는 접지면 표면이 된다. 스트립 라인 도전체와 그라운드 면 (리드) 사이의 간극을 조절함으로써, 스트립 라인 도전체의 특성 임피던스가 조절된다. 동축 튜닝 스터브는 직선을 따라 연장되는 반면, 스트립 라인 도전체는 리드 안쪽에 감길 수 있어 넓이 또는 풋프린트를 감소시키므로, 튜닝 기기의 풋프린트가 감소된다. 동축 튜닝 스터브의 모든 특성은 스트립 라인 회로에서도 유지된다. 그러므로, 상기한 동축 튜닝 스터브의 길이와 같은 방식으로 스트립 라인 도전체의 길이가 결정된다. 또한, VHF 발전기에 연결된 탭이나 급전점을 위한 스트립 라 인 도전체의 길이 방향 위치는, 위에서 참조한 부 출원에서 설명된 동축 튜닝 스터브에 대한 탭의 그것과 동일하다. 또한, 스트립 라인 도전체는 공동 (空洞) 이며, 위에서 참조한 부 출원에서 설명된 동축 튜닝 스터브 중심 도전체를 통해 유틸리티가 공급을 받는 것과 같은 방식으로 스트립 라인 도전체를 통해 유틸리티가 공급을 받는다.
MERIE 자석을 가진 VHF 용량성 결합 반응기의 구조:
도 8 및 9를 참조하면, VHF 용량성 결합 플라즈마 반응기는 도 1 의 반응기에 있는 반도체 웨이퍼 (110) 를 지지하는 챔버 하부의 웨이퍼 지지부 (105) 를 가지는 반응기 챔버 (100) 를 포함한다. 설명된 실시형태의 프로세스 키트 (kit) 는, 그라운드된 챔버 바디 (127) 상에서 유전체 링 (120) 에 의해 지지되는 반도체 또는 도체 링 (115) 으로 구성된다. 챔버 (100) 는, 그라운드된 챔버 바디 (127) 상의 웨이퍼 (110) 위에 유전체 실 (seal;130) 에 의해 소정의 간극 길이로 지지되는 디스크 모양의 오버헤드 알루미늄 전극 (125) 에 의해 상부가 경계지어진다. 오버헤드 전극 (125) 역시, 그 내부 표면이 준 금속 물질 (예를 들어, Si 또는 SiC) 로 덮일 수 있는, 금속 (예를 들어, 알루미늄) 일 수 있으며, 또는 그 자체로 준 금속 물질일 수 있다. RF 발전기 (150) 는 전극 (125) 에 RF 전력을 인가한다. 발전기 (150) 로부터의 RF 전력은 발전기 (150) 에 정합된 동축 케이블 (162) 및 전극 (125) 에 연결된 동축 스터브 (135) 로 결합된다. 스터브 (135) 는 특성 임피던스, 공진 주파수를 가지며, 이하에서 더 설명하는 바와 같이, 전극 (125) 과 동축 케이블 (162)/RF 전력 발전기 (150) 간에 임피던스 정합을 제공한다. 챔버 바디는 RF 발전기 (150) 의 RF 복귀 (RF 그라운드) 에 연결된다. 오버헤드 전극 (125) 로부터 RF 그라운드로의 RF 경로는 프로세스 키트 유전체 링 (120) 및 유전체 실 (130) 의 커패시턴스에 의해 영향을 받는다. 웨이퍼 지지부 (105), 웨이퍼 (110) 및 프로세스 키트 반도체 (또는 도체) 링 (115) 은, 전극 (125) 에 인가된 RF 전력에 대해 제 1 RF 복귀 경로를 제공한다.
웨이퍼 지지 페디스털의 주변과 반응기 챔버의 외부에 대해 동등하게 공간을 둔 MERIE 전자석 (901, 903, 905, 907) 세트를 도입함으로써, 플라즈마 농도 분포 균일성의 향상이 이루어질 수 있다. 이들 MERIE 자석은, 주로 웨이퍼 지지 페디스털의 표면을 가로질러 원통형 챔버의 대칭축에 대해 천천히 회전하는 자기 필드를 생성하도록 구성된다. 일 실시형태에서, 이 특성은 웨이퍼 지지 페디스털의 원주에 접하는 각각의 축에 대해 감긴 전자석 권선을 가지는 MERIE 자석 (901, 903, 905, 907) 에 의해 실현된다. 이 실시형태에서, MERIE 전류 제어기 (910) 는 각각의 MERIE 자석으로의 개별적 전류를 제어한다. 동일 주파수나 90도 (또는, 360 도 나누기 MERIE 자석의 수) 만큼 위상 오프셋 된 개별적 자석 권선 각각에 개별적인 AC 전류를 제공하는 제어기 (910) 에 의해, 순환 자기 필드가 워크피스 지지부 평면 내에 생성된다. 다른 실시형태에서, 회전자 (1025; 점선) 에 의해 대칭축에 대해 회전되는 MERIE 자석 전부를 지지하는 지지 프레임 (1020; 점선) 에 의해 회전 자기 필드의 특성이 실현된다. 이 다른 실시형태에서, MERIE 자석은 영구 자석이다.
워크피스 또는 웨이퍼 지지 페디스털에 대해 동등하게 간격을 두나, 제 1 세트의 MERIE 자석 (901, 903, 905, 907) 보다 더 높은 평면에 있는 제 2 열의 MERIE 자석 (912, 914, 916, 918) 역시 제공될 수 있다. 두 세트의 자석은 모두 워크피스 지지부 평면 부근의 각각의 평면 내에 있다.
제어기 (910) 는 저주파 (0.5 - 10 Hz) AC 전류를 각각의 전자석 (901-907) 에 인가하며, 이웃하는 자석들에 인가된 전류의 위상은 상기한 바대로 90도 만큼 오프셋 된다. 그 결과는, AC 전류의 저주파에서 워크피스 지지부의 대칭축에 대해 회전하는 자계가 된다. 자계는 플라즈마가 워크피스 부근의 자계로 끌려가서 그 자계와 함께 순환하도록 한다. 이는 플라즈마를 움직이게 하여 그 밀도 분포가 더 균일해 지도록 한다. 그 결과, 웨이퍼의 전 표면에 걸쳐 더 균일한 에칭 결과가 얻어지므로, 반응기의 성능은 크게 개선된다.
오버헤드 전극을 통한 프로세스 가스의 도입:
여기서 상술한 바와 같이, MERIE 반응기는 오버헤드 천정으로부터 프로세스 가스를 공급함으로써 가장 잘 구현될 수 있다. 본 발명에서, 이는 오버헤드 전극 (125) 을 통해 프로세스 가스를 공급할 것을 요한다. 이를 위해, 도 8 및 9의 실시형태에서의 오버헤드 전극 (125) 은 가스 분사 샤워헤드이고, 그에 따라 워크피스 지지부 (105) 와 면하는 그 하부 표면 (125a) 에 많은 가스 주입구 또는 작은 홀들 (300) 을 가진다. 예시적인 실시형태에서, 포트 (300) 는 0.01 및 0.03 인치의 지름이고 그 중심은 약 3/8 인치만큼 균일하게 공간을 두고 있다. 도 8 에서 도시된 실시형태에서, 원뿔형 금속 하우징의 환형 상부 (290a) 는 동축 스터브 내부 도전체 (140) 의 근접단 (140a) 을 지지하며 그 환형 기저 (290b) 는 알루미늄 오버헤드 전극 (125) 상에 놓인다. 하우징 (290) 의 원뿔 모양은, 오버헤드 전극 (125) 위쪽에 그 내부에서 공동 동축 내부 도전체 (140) 로부터 오버헤드 전극 (125) 으로 다양한 유틸리티가 공급되는 큰 개방 플레넘을 한정한다. 아래에서 더 자세히 설명되는 바와 같이, 원뿔형 하우징 기저 (290b) 는 오버헤드 전극 (125) 의 외부 원주 부근에 있으며, 오버헤드 전극 (125) 의 거의 모든 상부 표면을 접근 가능하도록 남겨둔다.
이 실시형태에서, 포트 (300) 는 지름 0.020 의 방사상 외부 포트 그룹 (302) 및 지름 0.010 의 방사상 내부 포트 그룹으로 구성된다. 웨이퍼 주변에 균일한 가스 흐름을 확보하기 위해, 외부 포트 그룹 (302) 은 웨이퍼의 원주 위로 연장된다. 이 특성의 장점 중 하나는, 웨이퍼의 중심 위에서는 더 크고 웨이퍼의 주변 위에서는 작은 플라즈마 농도를 만드는 도 1 내지 도 7 의 VHF 용량성 결합 반응기의 경향을 보정하는 방법으로, 프로세스 가스 흐름의 방사상 분포가 조절될 수 있다는 점이다. 오버헤드 전극 내의 방사상 외부 알루미늄 폼 층 (310) 은 포트들 (302) 위에 놓인다. 외부 폼(foam) 층 (310) 을 오버레이하는 방사상 외부 가스 공급 매니폴드(manifold) 또는 플레넘 (315) 은, 축 가스 통로 (320) 를 통해, 동축 튜닝 스터브 (135) 의 내부 도전체 (140)를 통과하는 가스 공급 라인 (325) 에 결합된다. 오버헤드 전극 (125) 내의 방사상 내부 알루미늄 폼 층 (330) 은 포트들 (304) 위에 놓인다. 내부 폼 층 (330) 을 오버레이하는 방사상 내부 가스 공급 매니폴드 또는 플레넘 (335) 은, 축 가스 통로 (340) 를 통해, 동축 튜닝 스터브 (135) 의 내부 도전체 (140) 를 통과하는 가스 공급 라인 (345) 에 결합된다. 알루미늄 폼 층 (310 및 330) 은 입력 프로세스 가스를 차폐한다. 각각의 가스 공급 라인 (325 및 345) 의 프로세스 가스 흐름을 독립적으로 선택함으로써 프로세스 가스 흐름 속도의 방사상 분포가 조절된다.
가스 주입구 내의 아크의 억제:
아크 감소 수단으로서, 플라즈마와 오버헤드 전극 사이에 약간의 커패시턴스를 제공하기 위해, 오버헤드 전극 하부 표면 (125a) 는 유전체 층으로 코팅된다. 예를 들어, 오버헤드 전극 (125) 은 알루미늄이고 유전체 코칭은 전극 하부 표면 (125a) 을 양극산화함으로써 형성된다. 이 양극산화는, 평탄한 하부 표면 (125a) 뿐만 아니라 가스 주입구 (300) 의 내부 표면상에도 아주 얇은 유전체 코팅을 형성한다. 이 특성은, 오버헤드 전극 (125) 으로 흐르는 RF 플라즈마 전류를 보정할 수 있는 전하 저장 능력을 제공함으로써, 가스 주입구 내의 아크를 억제하는 경향이 있다. 도 10 은, 가스 입구 포트 (300) 중 하나 부근에서 그 결과의 미세한 구조를 도시하는 도 8 의 부분 확대도이다. 특히, 양극산화로 형성된 산화 알루미늄 층 (350) 은 전극 하부 표면 (125a) 를 덮으며, 가스 주입구 (300) 의 내부 표면을 덮는다.
오버헤드 전극 (125) 부근의 전계를 억제하기 위해, 오버헤드 전극 (125) 의 상부 표면 (125b) 은 상대적으로 두꺼운 (0.25 인치) 알루미늄 폼 (355) 층으로 덮인다. 두꺼운 알루미늄 폼 (355) 은 오버헤드 전극 부근의 전기 퍼텐셜을 축 (수직) 방향으로 일정하게 유지하고, 이에 의해, 다른 방법으로 가스 주입구 (300) 내의 플라즈마 아크에 기여하였을 그 부근의 전계를 억제하는 경향이 있다.
D.C. 플라즈마 전류가 오버헤드 전극을 통해 동축 스터브 중심 도전체 (140) 로 흐르는 것을 막기 위해, 오버헤드 전극 (125) 과 오버헤드 전극 (125) 을 동축 중심 도전체 (140) 에 연결하는 도전성 하우징 (290) 의 기저부 (290b) 사이에 얇은 절연 층 (360) 이 배치된다. 이 특성은, 오버헤드 전극의 D.C. 전위를 부동하게 한다. 그에 의해 오버헤드 전극 (125) 과 도전체 하우징 기저부 (290b) 사이에 축전기가 형성된다. 이 축전기의 커패시턴스는 얇은 절연 층 (360) 의 두께 및 유전상수 뿐만 아니라 기저 (290b) 의 면적에 의해서도 결정된다. 바람직하게는, 이 축전기의 커패시턴스는, 모든 VHF 대역에 걸쳐서 RF 단락을 제공하면서 특정 HF 주파수에서는 좁은 공진 또는 저 임피던스 경로를 제공하도록 선택된다. 이러한 방법으로, 오버헤드 전극 (125) 은, 웨이퍼 지지 페디스털 (105) 에 인가되는 HF 바이어스 전력에 대해 복귀 경로를 제공하지만, VHF 소스 전력 주파수에서는 오버헤드 전극의 행동에 영향을 주지 않는다. 이에 의해, 다른 방법에 의해 오버헤드 전극으로 흐르는 D.C. 플라즈마 전류를 차단하며, 이 D.C. 전류는 아크에 기여하므로 가스 주입구 (300) 내의 플라즈마 아크가 억제된다.
요약하면, 가스 주입구 (300) 내의 플라즈마 아크는, (a) 오버헤드 전극 (125) 및 가스 주입구 (300) 의 내부 표면상의 유전체 코팅 (350) 형성, (b) 오버헤드 전극 (125) 상부에 금속 알루미늄 폼 층 (355) 제공, 및 (c) 오버헤드 전극 (125) 및 도전체 하우징 (290) 사이에 얇은 절연 층 (360) 배치의 특성들 중 하나 이상에 의해 억제된다.
플라즈마 시스 생성된 고조파의 억제:
얇은 절연층 (360) 은 웨이퍼 지지 페디스털 (105) 에 인가되는 HF 바이어스 신호의 플라즈마 시스 생성된 고조파를 억제하는데 역할을 한다. 이러한 고조파의 존재는 프로세스 성능을 저하시키고, 특히 에칭 속도를 감소시킨다. 절연층 (360) 의 커패시턴스 결정 특성 (즉, 유전상수 및 두께) 을 선택함으로써, 오버헤드 전극 (125) 및 동축 내부 도전체 (140) 를 통한 플라즈마로부터의 복귀 경로는 특정 HF 주파수에서 공진하도록 (그리고 그에 따라 아주 높은 어드미턴스를 가지도록) 튜닝 된다. 이 공진 주파수의 한 선택은 웨이퍼 지지 페디스털 (105) 에 인가되는 HF 바이어스 신호의 기본파이나, 본 발명은, 이 공진을 바이어스 신호의 제 2 고조파로 선택함으로써 에칭 속도가 10% 에서 15% 만큼 향상됨을 밝혀냈다. 용량성 층 (360) 의 특성에 의해, 플라즈마 피복에 의해 나타난 비 선형 부하에 의해 생성된 고조파가 오버헤드 전극 및 동축 중심 도전체 (140) 에 의해 주어지는 저 임피던스 경로를 통해 빨리 접지로 리턴되기 때문에, 이러한 유리한 결과가 얻어진다.
오버헤드 전극 (125) 을 통한 복귀 경로를 특정 주파수로 튜닝하기 위한 축전기 층 (360) 의 두께 선택은, 플라즈마 그 자체의 커패시턴스뿐만 아니라 오버헤드 전극 (125) 의 얇은 플라즈마 시스의 커패시턴스, 웨이퍼 지지 페디스털 (105) 의 두꺼운 플라즈마 시스의 커패시턴스 등의 많은 요인들에 의해 영향을 받는다. 특정 플라즈마 동작 조건에서 선택된 HF 주파수에서 공진을 얻기 위한 축전기 층 (360) 의 정확한 두께를 찾기 위해, 당업자는 시행착오를 포함하여 많은 종래 기술 들을 용이하게 채용할 수 있다.
전극 표면 온도 제어:
규소 산화물과 에칭 되지 않아야 하는 다른 물질 사이에 적당한 에칭 선택도를 얻기 위해, 프로세스 가스는 워크피스 상의 비 산화물 함유 표면 위에 중합체 층을 형성할 수 있어야 하기 때문에, 산화 에칭 반응기에서 중합체 침전물은 심각한 문제이다. 플루오르카본 가스를 사용하는 플라즈마 프로세스 동안, 다 탄소 (carbon-rich) 종들 (species) 은 반응기 챔버의 모든 내부 표면 위뿐만 아니라 워크피스 위의 비 산소 함유 물질 상에 중합체를 침전하는 동안, 더 단순한 불소 이온 및 래디컬은 에칭을 수행한다. 챔버 내부 표면에서 플라즈마로 떨어지는 중합체 입자에 의한 워크피스의 오염을 피하기 위해, 이들 표면은 충분히 낮은 온도로 유지되어야 하며, 챔버 내부 표면에서 이 침전물들이 떨어져 나오는 것을 피하기 위해 플라즈마 전자 에너지는 충분히 낮게 유지되어야 한다. 다른 방법으로는, 챔버 진공이 중단되어, 이러한 침전물을 제거하기 위해 화학적 세척단계가 수행되어야 하며, 이 단계는 반응기의 생산성을 크게 감소시킨다.
도 1 을 참조하여 설명된 용량성 결합 VHF 소스는 매우 효율적이며 따라서, 비화학적 세척 단계 동안 충분히 높은 플라즈마 농도를 생성하여 웨이퍼 프로세스동안 침전된 어떤 중합체 잔류물도 챔버 내부 표면으로부터 완전히 제거할 수 있다. 이러한 세척 단계 동안, 보통의 플라즈마 프로세스 가스는 좀더 휘발성인 가스 (예를 들어, 매우 높은 자유 불소 함유량을 가진 플라즈마를 생산하는 경향의 것) 로 치환될 수 있다. 액체 화학 물질이 챔버에 도입될 필요가 없으므로, 챔 버는 닫힌 채로 유지되고, 그 결과 세척 단계가 빨리 그리고 자주 수행되어 챔버에 중합체 침전물이 없도록 유지할 수 있다. 그러므로, 도 8 의 반응기의 동작 모드는, 챔버 표면 온도 및 플라즈마 이온 에너지가 충분히 높아 챔버 내부 표면상에 중합체의 누적을 피할 수 있는 것이다.
이를 위해, 도 8 의 반응기는 오버헤드 전극 (125) 상에 (열 전달 유체를 위한) 통로 (670) 를 포함한다. 도 8 의 구현에서, 유체 통로 (670) 는 상부 알루미늄 폼 층 (355) 과 오버헤드 전극 (125) 의 상부 표면 사이에 형성된다. 다르게는, 이러한 통로는 오버헤드 전극 내에 완전히 내부적으로 형성될 수 있다. 공동 내부 동축 도전체 (140) 를 통과하는 유체 공급 라인 (675) 으로부터 유체 통로 (670) 로, 온도 제어 유체 또는 가스가 공급된다. 그러므로, 오버헤드 전극 (125) 의 온도는 정확히 제어될 수 있다. 이렇게 전극 온도를 제어하고, 플라즈마 이온 에너지와 같은 다른 플라즈마 프로세스 파라미터들을 제어함으로써, 반응기는 증착 모드 (여기서 표면은 충분히 냉각되어 중합체를 누적한다) 또는 공핍 모드 (여기서 표면은 충분히 가열되어 플라즈마 이온이 표면으로부터 중합체를 분리시킬 수 있게 하고, 그럼으로써 중합체의 누적을 피한다) 중 어느 한 모드에서 동작될 수 있다. 입자 오염을 더 잘 피할 수 있으므로, 공핍 모드는 효율적이다.
플라즈마 프로세스의 시각적 모니터링:
도 8 의 반응기는 챔버 내부 표면상에 중합체 침전물이 없도록 동작될 수 있으므로, 오버헤드 전극 (125) 의 하부 표면에 시각적 윈도우 (680) 이 제공될 수 있다. 광섬유 또는 광 파이프 (685) 와 같은 시각적 채널은 한 단에서 시각적 창 (680) 에 연결되고, 공동 내부 동축 도전체 (140) 를 통과한다. 광 파이프 (685) 는 바깥쪽 단에서 종래의 광 검출기 (687) 에 연결된다.
이러한 특성으로, 이러한 광 검출기를 이용하여 종점 검출 및 다른 측정들이 수행될 수 있다. 특히, 검출기 (687) 는, 알려진 광학 기술을 이용하여 워크피스 또는 반도체 웨이퍼 (110) 상의 선택된 층의 두께를 측정한다. 에칭 프로세스 동안에, 예를 들어, 에칭 되고 있는 재료의 두께가, 위 검출기 (687) 에 의해 측정된 소정의 두께로 감소한 후에 프로세스가 중단될 수도 있다.
오염의 방지:
챔버 내부 표면이 폴리머 증착 없이 유지될 수 있으므로, 표면은 플라즈마에 노출된 채로 유지된다. 특히, 알루미늄 오버헤드 전극 (125) 의 하부 표면은 계속하여 플라즈마로부터 공격당하기 쉬우며, 따라서 알루미늄 종을 플라즈마에 제공하기 쉬워서, 워크피스의 오염을 초래하며 결과적으로 프로세스의 실패를 초래한다. 그러한 문제점을 방지하기 위해, 양극산화 될 수 있는 오버헤드 전극 (125) 의 하부 표면은, 실리콘 또는 실리콘 카바이드와 같은 프로세스-호환 재료로 코팅된다. 따라서, 도 10 및 11a 에 나타난 바와 같이, 실리콘 카바이드 박막 (690) 은 알루미늄 오버헤드 전극 (125) 의 하부 양극산화 된 표면을 덮는다. 실리콘 또는 실리콘 카바이드 박막 (690) 은 플라즈마가 전극 (125) 의 알루미늄 재료를 공격하는 것을 방지한다. 그러한 종 (실리콘 및 카본) 은 이미 플라즈마 및/또는 워크피스에 존재하고 프로세스과 호환되기 때문에, 플라즈마가 실리콘-함유 박막 (690) 으로부터 재료를 제거한다는 점에서, 이렇게 플라즈마에 도입된 종은 프로세스를 오염시킬 수 없게 된다. 실리콘은, 실리콘 옥사이드가 에칭 되고 있는 플라즈마에 존재한다. 카본은, 플루오로-카본 가스가 프로세스 에칭 가스로 채택되는 플라즈마 내에 있다.
다른 실시형태로서, 오버헤드 전극은 양극 처리되지 않으며 실리콘 카바이드 박막 (690) 은 전극 (125) 의 순수한 알루미늄 표면에 형성된다. 도 11b 에 도시된 또 다른 실시형태에서, 가스 주입 홀 (300) 은 (두 번의 직각 회전과 관련된) L-형이고 챔버로의 개구는 환형이며, 각각의 홀 (300) 의 환상 개구는 각각의 개구의 중심을 막는 고체 원판 (300a) 에 의해 한정된다.
결과:
따라서 본 발명은 동작 조건 및/또는 제조 내구성의 변화에 훨씬 덜 민감한 플라즈마 리액터를 제공한다. 동작 조건에 대한 민감도가 없음 즉, 임피던스 정합에 대한 넓은 튜닝 또는 주파수 공간을 포함하는 이러한 커다란 이점은 다양한 리액터의 특성 중 적어도 하나 이상이 기여한 것으로 생각된다. 이러한 특성은, 가장 바람직한 프로세스 플라즈마 이온 농도에서 플라즈마의 음의 커패시턴스의 크기에 정합 또는 거의 정합하는 커패시턴스를 가지는 오버헤드 반응기 전극, 플라즈마-전극 공진 주파수에 정합 또는 거의 정합하는 VHF 소스 전력 주파수의 사용; VHF 소스 전력 주파수, 플라즈마-전극 공진 주파수 및 스터브 공진 주파수의 밀접한 관계; 플라즈마-전극 공진 주파수, 스터브 공진 주파수 및 소스 전력 주파수의 상호 오프셋; 및 소스 전력을 오버헤드 전극에 결합하기 위한, 바람직하게는 이상적인 정합 위치에서 약간 오프셋된 소스 전력 입력 탭 (160) 과 함께 하는 공진 스터브 정합의 사용을 포함한다.
플라즈마, 스터브, 및 소스 전원 주파수의 오프셋은, 사실상 시스템을 디-튜닝함으로써, 시스템의 튜닝 공간을 넓히는 것으로 생각된다. 스터브 매치의 이용은, 더 넓은 주파수 범위에 걸쳐 정합함으로써 튜닝 공간을 넓힌다. 이상적인 정합 포인트로부터 스터브 탭 포인트 (160) 를 오프셋하는 것은, 다른 방법으로 전달 전력이 감소할 때 전류를 추가하고, 다른 방법으로 전력이 증가할 때 전류를 감소하므로, 시스템을 더 최적화하여 튜닝 공간을 넓힌다. 더 높은 (VHF) 소스 전력 주파수의 사용은, 소스 전력 주파수의 증가에 비례하는 시스템 Q 의 감소 또는 튜닝 공간의 증가를 제공한다. 더 중요하게는, 이 선택이, 에치 프로세스에 적당한 플라즈마 농도에서 전극-플라즈마 공진이 소스 전력 주파수에 정합 되도록 한다.
본 발명은 더 넓은 프로세스 윈도우에 걸쳐, 반응기가 실질적으로 프로세스 조건의 변화에 영향을 받지 않도록 하기 때문에, (a) 더 넓은 프로세스 조건 변화 범위에서 동작할 수 있고, (b) 더 넓은 범위의 응용 (상이한 프로세스 방법) 에서 유용하며, (c) 더 넓은 범위의 제조 오차에 대해, 그 성능이 실질적으로 영향을 받지 않으므로, 반응기간의 특성이 균일한, 세가지 장점을 제공한다.
따라서, 우수한 결과들이 달성되고 있다. 특히, 시스템의 Q 는 동일한 모델의 다른 반응기들 중에서 특성들 및 성능의 우수한 균일도를 유지하기 위하여 그리고 프로세스 윈도우를 개선시키기 위하여 일부 경우들에서 약 5 로 최소화 되 고 있다. 1012 이온/cc 정도의 높은 플라즈마 밀도가 단지 2㎾ 의 전원에 대하여 일정하게 달성되고 있다. 시스템은 10 W 와 같이 낮은 소스 전력 레벨에 대하여 천이 없이 10 mT 내지 200 mT 의 압력 범위에 걸쳐 플라즈마들을 유지한다. 단락된 임피던스는 VHF 플라즈마 부근에서 공진하는 동축 스터브를 정합시키고, 소스 전력 주파수는 95% 를 초과하는 전력 효율을 실현하면서 기생 VHF 플라즈마 시스 (sheath) 고조파를 단락시킨다. 그 시스템은 3 : 1 미만의 소스 전력 SWR을 유지하면서 60 : 1 의 플라즈마 저항 부하 변화와 1.3 내지 0.75 의 반응성 부하 변화를 수용한다.
부하 변화를 수용하는 증가된 능력, 확대된 프로세스 윈도우들은 (a) VHF 소스 전력 주파수를 적절하게 선택할 뿐만 아니라 전극 (125) 과 그 도전성 지지부 사이의 유전체 값들을 적절히 선택함으로서 상술한 바와 같이 달성되는, 설계 동작 조건하에서 전극과 플라즈마 커패시턴스들의 정합; 및 (b) 낮은 부하 조건 하에서 스터브 전류에 부가되며 높은 부하 조건 하에서는 스터브 전류로부터 제거된 탭 전류에 의해 최적의 탭 위치지정에 대하여 특정하게 구성된 동축 스터브에 크게 기인한다. 매우 높은 전력 효율은, 저항 손실이 우세한 동축 스터브에서의 낮은 전류와 높은 전압, 및 용량성 손실이 우세한 전극/플라즈마 에성의 높은 전류와 낮은 전압을 구현하기 위하여 최적의 탭 위치지정에 따라, 스터브 공진 주파수와 전극-플라즈마 공진 주파수 사이를 정합시킴에 의해, 전극 접속 뿐만 아니라 발생기 접속에서의 반사 손실을 최소화하는, 동축 스터브에 의해 제공되는 임피던스 변환에 크게 기인한다. 그러나, 모든 이러한 이점들은 종래의 임피던스 정합 장치의 필요성을 피하거나 또는 최소화하면서 제공된다.
유전체 및 도전체 에칭에 적합한 본 발명의 예시적인 실시형태를 상세히 설명하였지만, 반응기는 또한 다른 이온 밀도, 다른 플라즈마 소스 전력 레벨, 다른 챔버 압력을 포함하여, 상술한 조건 이외의 플라즈마 동작 조건의 선택에 대하여 유리하다. 이 변경에 의해 다른 전극 커패시턴스 및 다른 전극-플라즈마 공진 주파수를 필요로 하는, 다른 플라즈마 커패시턴스들을 생성하므로, 상술한 것들로부터 다른 플라즈마 소스 전력 주파수 및 스터브 공진 주파수를 요구한다. 또한, 다른 웨이퍼 직경 및 화학적 기상 증착과 같은 다른 플라즈마 프로세스는 소스 전력 및 챔버 압력에 대하여 다른 동작 방식을 가질 수도 있다. 그러나, 이러한 다양한 애플리케이션들하에서, 반응기는 상술한 실시형태에서와 같이 일반적으로 프로세스 윈도우 및 프로세스 윈도우를 개선시킨다.
조밀한 VHF 고정 튜닝 엘리먼트:
도 1 및 도 8 의 동축 투닝 스터브는, 도 1 내지 도 7 을 참조하여 설명한 바와 같이, 큰 튜닝 공간에 걸친 임피던스 정합을 제공하는 튜닝 엘리먼트이다. 그러나, 그 연장된 선형 설계로 인하여, 그 풋프린트 (footprint) 는 실제로 플라즈마 반응기 챔버의 것보다 더 크다. 이 양태가 부적절한 것으로 발견되는 상태에서, 도 1 및 도 8 의 동축 튜닝 스터브는, 도 12, 도 13, 및 도 14 에 나타낸 바와 같이, 등가 스트립 라인 회로에 의해 대체된다. VHF 발생기의 50 오옴 동축 출력 커넥터의 중심 커넥터는 스트립 라인 도전체 (700) 에 접속되는 반면에, VHF 발생기의 50 오옴 동축 출력 커넥터의 바깥쪽 커넥터는 반응기의 상부를 커버하는 하우징 (715) 의 금속 천정 (710) 에 접속된다. 도전성 천정 (710) 은 스트립 라인 도전체 (700) 가 대향하는 접지면으로서 기능한다. 스트립 라인 도전체 (700) 는 일반적으로 단면이 타원이며, 접지면 천정 (710) 과 대향하는 더 넓은 측을 가진다. 스트립 라인 도전체의 특성 임피던스는 접지면 천정 (710) 으로부터의 그 간격에 의해 결정된다. 바람직하기로는, 스트립 라인 도전체 (700) 는 그 전체 길이에 따른 접지면 천정 (710) 으로부터 균일하게 이격되어 있다.
예시적인 실시형태에서, 스트립 라인 도전체는 0.125 인치의 높이, 2.5 인치의 폭을 가지며, 0.5 인치 만큼 접지면 천정 하부에 배치된다. 접지면 천정 (710) 에 대향하는 스트립 라인 도전체 (700) 의 넓은 측 (2.5 인치) 을 가짐으로써, 전류 흐름은 스트립 라인 도전체 (700) 의 전체 2.5 인치 폭을 따라 더 분산되어, 가장 많은 전류 흐름이 발생하는 외부 표면에서의 저항 손실을 감소시킨다. 스트립 라인 도전체 (700) 의 길이는, 도 1 을 참조하여 상세히 설명한 바와 같이, 동축 튜닝 스터브 (135) 의 길이와 동일한 방식으로 결정된다. 또한, 스트립 라인 도전체 (700) 의 길이에 따른 RF 탭 (160) 의 배치도 또한 도 1 을 참조하여 설명한 바와 같이, 동축 스터브 (135) 의 길이에 따른 RF 탭의 배치와 동일한 방식으로 결정된다. 최종적으로, 오버헤드 전극 (125) 으로부터 가장 먼 도 12 의 스트립 라인 도전체 (700) 의 말단은, 도 1 의 동축 스터브 내부 도전체 (140) 의 해당 말단과 같이, 접지로 단락된다. 스트립 라인 도전체 (700) 의 경우에, 단 락/접지는, 도 13 에 나타낸 바와 같이, 원단 (700a) 에서 접지면 천정 (710) 의 접속에 의해 달성된다.
도 1 내지 도 8 의 동축 튜닝 스터브 (135) 와 같이, 스트립 라인 도전체 (170) 는, 스트립 라인 도전체 (700) 및 접지면 천정을 구비하는 스트립 라인 회로의 경우에, 일정한 튜닝 엘리먼트의 공진 주파수의 1/4 파장과 동일한 길이를 갖는다. 따라서, 스트립 라인 도전체 (700) 의 길이 선택은 도 1 내지 도 7 를 참조하여 상술한 동축 튜닝 스터브 (135) 의 길이 선택과 정확히 동일하게 된다. 일 실시형태에서, 이 길이는 약 29 인치이었다. 도 12 의 RF 탭 (160) 이 동축 튜닝 스터브 (135) 의 길이에 따라 대응하는 접속을 형성하는 것과 마찬가지로, 도 12 의 RF 탭 (160) 은 스트립 라인 도전체의 길이에 따라 특정 포인트에서 VHF 발생기를 스트립 라인 회로에 접속한다. 도 12 의 경우에서, VHF 발생기의 출력 동축 커넥터의 중심 도전체는 탭 (160) 에서 스트립 라인 도전체에 접속되는 반면에 VHF 발생기의 바깥쪽 도전체는 스트립 라인 도전체의 탭 접속을 오버레이하는 포인트에서 접지면 천정에 접속된다.
도 12 에의 스트립 라인 도전체 (700) 의 길이에 따른 탭 포인트 (160) 의 위치는, 도 1 에 대하여 상세히 설명한 바와 같이 동축 스터브의 길이에 따라 도 1 의 탭의 위치와 동일한 방식으로 결정된다. 이 특징에 있어서, 스트립 라인 도전체 (700) 및 접지면 천정을 구비하는 스트립 라인 회로는, 임피던스 정합 공간이 이론상의 최적 조건으로부터 탭 포인트 (160) 를 약간 오프셋시킴으로써 부하 저항에서의 100 : 1 변화와 같이 수용할 수 있는 도 1 에 대하여 설명한 특징을 포함하 여, 도 1 의 동축 튜닝 스터브 (135) 와 동일한 방식으로 수행한다. 도 1 을 참조하여 상술한 바와 같이, 탭 (160) 의 이론상의 최적 위치는, 정재파 전압과 전류 사이의 비가 VHF 발생기의 출력 임피던스 또는 그 사이에 접속되는 동축 케이블의 특성 임피던스와 동일한 튜닝 스터브 (135) 의 길이 (또는, 등가적으로, 도 12 의 스트립 라인 도전체 (700) 의 길이) 에 따른 포인트에 있다. 도 1 을 참조하여 설명된 것은, 임피던스 정합 공간이 놀랍게도 이론상의 최적조건 위치로부터 탭 (160) 을 약 5% 만큼 오프셋시킴에 의해 연장된다는 것이다. 따라서, 도 12 의 스트립 라인 도전체 회로는 도 1 의 동축 튜닝 스터브의 모든 이점 및 기능들을 제공하면서 추가적으로 조밀성의 이점을 추가한다.
도 8 의 동축 스터브의 내부 도전체 (140) 와 같이, 도 12 의 스트립 라인 도전체 (700) 는 전극 (125) 에 접속되는 유틸리티 라인들을 수용하기 위하여 비어 있으며, 원뿔형 하우징 (290) 의 상면 (290a) 에 접속된다. 스트립 라인 도전체 (700) 의 이점(도 1 및 도 8 의 동축 튜닝 스터브에 비하여)은, 필요한 길이가 반응기 챔버의 "풋프린트"를 넘어서 연장하지 않고 구현될 수 있도록 하우징 (715) 내에서 순환 방식으로 연장할 수 있다는 것이다.
스트립 라인 도전체의 길이는, 도 1 을 참조하여 상술한 바와 같이, 동축 튜닝 스터브의 길이가 결정되는 방식과 동일한 방식으로 결정된다. 스트립 라인 도전체 (700) 의 임피던스는 접지면 천정 (710) 으로의 그 변위를 조절함으로써 결정된다. 상술한 바와 같이, 이 임피던스는 약 30 오옴 또는 VHF 발생기 출력 임피던스 보다 작게 최적으로 선택된다. 스트립 라인 도전체 (700) 의 길이에 따른 VHF 발생기 (150) 로부터의 탭 (160) 의 위치는, 도 1 을 참조하여 상술한 바와 같이 동축 튜닝 스터브상의 RF 탭 (160) 의 위치와 동일한 방식으로 결정된다. 접지면 천정 (710) 과 결합하는 스트립 라인 도전체 (700) 는 도 1 또는 도 8 의 동축 튜닝 스터브와 동일한 기능을 수행하며, 도 1 을 참조하여 상술한 바와 같이 동일한 성능 이점을 제공한다.
도 13 의 평면도는 스트립 라인 도전체 (700) 가 거의 정사각형 경로 (라운드형 코너들에 대하여) 에 따라 휘어지는 실시형태를 나타내지만, 도 14 는 스트립 라인 도전체 (700) 가 원형으로 휘어지는 또 다른 실시형태를 나타낸다.
튜닝 엘리먼트를 통하여 공급되는 유틸리티:
도 8 및 도 12 에 대하여 상술한 바와 같이, 도 8 의 동축 스터브 내부 도전체 (140) 및 도 12 의 스트립 라인 도전체 (700) 는, 오버헤드 전극에 대하여 다양한 유틸리티들을 가지는 라인들을 수용하기 위하여 비어 있다. 따라서, 도 8 및 도 12 에 나타낸 바와 같이, 외부 가스 공급 라인 (325) 은 외부 가스 공급 제어기 (800) 에 접속되며, 내부 가스 공급 라인 (345) 은 내부 가스 흐름 제어기 (810) 에 접속되며, 광학 파이버 또는 광 파이프 (685) 는 광학 검출기 (687) 에 접속되며, 가열/냉각 라인 (675) 은 가열/냉각 소스 제어기 (830) 에 접속된다.
고정된 튜닝 엘리먼트 (135) 는 동축 튜닝 스터브 (도 1 및 도 8 의 실시형태에서와 같이) 또는 스트립 라인 회로 (도 12 및 도 14 의 실시형태에서와 같이) 중 어느 하나 일 수 있다. 안테나 설계자는, RF 발생기의 특성 출력 임피던스와 전극/플라즈마 결합의 임피던스 사이에서 임피던스 정합의 제공시에, 고정된 튜 닝 엘리먼트의 실시형태들에 의해 수행되는 등가 기능을 인식한다. 고정된 튜닝 엘리먼트(또는, 등가적으로, 고정된 임피던스 정합 엘리먼트)의 실시형태들은 공통적으로 구조상의 특징을 공유하며, 중심 전도체 (도 12 의 스트립 라인 도전체 또는 도 8 의 내부 동축 도전체), 및 접지된 도전체 (도 21 의 접지면 천정 또는 도 8 의 접지된 외부 동축 도전체) 를 사용하는 것을 포함한다. 양 경우에 있어서, 임피던스 정합 엘리먼트의 특성 임피던스는 2 개의 도전체들 사이의 간격에 의해 결정되는 반면에 임피던스 정합 회로에 대한 입력 임피던스는 RF 발생기의 접속의 중심 도전체를 따른 위치에 의해 결정된다. 또한, 중심 도전체는 비어 있으므로, 가스 공급 라인들 및 열-도전성 유체 공급 라인에 대하여 RF 차폐된 콘딧 (conduit) 으로서 기능한다. 가장 중요한 공통적인 특징은 임피던스 정합 엘리먼트의 실시형태들은 구조상 물리적으로 고정되어 있으므로, 이동성 부분들 또는 인텔리전트 제어기를 요구하지 않고, 현저한 이점을 갖는다. 다른 관련 이점들은 이미 설명하였다. 따라서, 양 실시형태들의 고정된 임피던스 정합 엘리먼트는 일반적으로 공동(空洞) 중심 도전체를 갖는 고정된 2 개의 도전체 임피던스 정합 엘리먼트로서 지칭될 수도 있다.
본 발명을 다양한 실시형태들을 참조하여 상세히 설명하였지만, 본 발명은 본 발명의 사상 및 범위를 벗어나지 않고 변화 및 변경될 수 있음을 알 수 있다.

Claims (50)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 반도체 워크피스를 프로세싱하는 플라즈마 반응기에 있어서,
    챔버 벽을 가지며 반도체 워크피스를 유지하는 워크피스 지지부를 포함하는 반응기 챔버;
    상기 워크피스 지지부를 오버레이하는 오버헤드 전극으로서, 상기 챔버벽의 일 부분을 구비하는, 오버헤드 전극;
    VHF 주파수에서 상기 오버헤드 전극에 전력을 공급하는 RF 전력 발생기로서, 상기 오버헤드 전극은 상기 발생기의 VHF 주파수에 있는 또는 그 부근에 있는 VHF 전극-플라즈마 공진 주파수에서 플라즈마와 공진을 형성하는 리액턴스를 가지는 RF 전력 발생기;
    상기 발생기와 상기 오버헤드 전극 사이에 연결되는 고정된 임피던스 정합 엘리먼트로서, 상기 고정된 임피던스 정합 엘리먼트는 적어도 상기 전극-플라즈마 공진 주파수 부근의 또는 그 주파수와 동일한 VHF 정합 엘리먼트 공진 주파수를 갖는 고정된 임피던스 정합 엘리먼트; 및
    상기 워크피스의 상면에 걸쳐 시간에 따라 회전하는 자계를 생성하는 MERIE 자계 발생기를 구비하는 플라즈마 반응기.
  32. 제 31 항에 있어서,
    상기 자계는 상기 자계와 연관된 전자 사이클로트론 주파수가 상기 RF 전력 발생기의 상기 VHF 주파수보다 작아지도록 충분히 작은 크기를 갖는 플라즈마 반응기.
  33. 제 32 항에 있어서,
    상기 전자 사이클로트론 주파수는 상기 VHF 주파수보다 적어도 5% 작은 플라즈마 반응기.
  34. 제 31 항에 있어서,
    상기 워크피스 지지부와 대향하는 상기 오버헤드 전극의 표면상에 형성되는 오버헤드 절연층을 더 구비하는 플라즈마 반응기.
  35. 제 34 항에 있어서,
    상기 RF 전력 발생기와 상기 오버헤드 전극 사이에 용량성 절연층을 더 구비하는 플라즈마 반응기.
  36. 제 35 항에 있어서,
    상기 워크피스 지지부로부터 떨어져 대향하는 상기 오버헤드 전극의 표면을 오버레이 및 접촉하는 금속폼 (metal foam) 층을 더 구비하는 플라즈마 반응기.
  37. 제 34 항에 있어서,
    상기 오버헤드 절연층을 커버하는 실리콘 함유 코팅을 더 구비하는 플라즈마 반응기.
  38. 제 37 항에 있어서,
    상기 실리콘 함유 코팅은 실리콘 또는 실리콘 카바이드 중 하나를 포함하는 플라즈마 반응기.
  39. 제 34 항에 있어서,
    상기 오버헤드 전극은 복수의 가스 주입구를 가지며, 상기 오버헤드 절연층은 상기 가스 주입구내의 아킹을 억제하기에 충분한 커패시턴스를 제공하는 플라즈마 반응기
  40. 제 35 항에 있어서,
    상기 용량성 절연층은 상기 챔버내의 플라즈마로부터의 D.C. 전류가 상기 오버헤드 전극을 통하여 흐르는 것을 차단하기에 충분한 커패시턴스를 갖는 플라즈마 반응기.
  41. 제 36 항에 있어서,
    상기 전극은 상기 워크피스 지지부와 대개 대향하는 복수의 가스 주입구를 가지며,
    상기 금속폼 층은 상기 가스 주입구 내의 축방향 전계를 억제하기에 충분한 두께를 가지는 플라즈마 반응기.
  42. 제 34 항에 있어서,
    상기 오버헤드 전극은 알루미늄을 포함하며, 상기 오버헤드 절연층은 양극산화시킴으로써 형성되는 플라즈마 반응기.
  43. 제 40 항에 있어서,
    상기 용량성 절연층은 플라즈마 시스 (sheath) 에 생성된 고조파에 대하여 상기 오버헤드 전극을 통하여 접지에 낮은 임피던스 경로를 제공하는 커패시턴스를 형성하는 플라즈마 반응기.
  44. 제 41 항에 있어서,
    상기 오버헤드 전극의 가스 공급 도입구; 및
    상기 가스 도입구와 상기 하나 이상의 제 1 세트의 가스 주입구 사이의 상기 오버헤드 전극내에 가스 배플링 층을 더 구비하는 플라즈마 반응기.
  45. 제 44 항에 있어서,
    상기 가스 배플링 층은 금속폼 층을 구비하는 플라즈마 반응기.
  46. 제 45 항에 있어서,
    상기 오버헤드 전극내에 열 제어 유체 통로들을 더 구비하는 플라즈마 반응기.
  47. 제 46 항에 있어서,
    상기 웨이퍼 지지부와 대개 대향하는 상기 오버헤드 전극내의 광학 윈도우, 및 상기 윈도우에 결합되며 상기 오버헤드 전극을 통하여 연장되는 광 반송 매체를 더 구비하는 플라즈마 반응기.
  48. 제 31 항에 있어서,
    상기 RF 전력 발생기 주파수와 상기 정합 엘리먼트 공진 주파수는 서로 그리고 상기 전극-플라즈마 공진 주파수로부터 각각 오프셋되는 플라즈마 반응기.
  49. 제 31 항에 있어서,
    상기 고정된 임피더스 정합 엘리먼트는 동축 튜닝 스터브를 구비하는 플라즈마 반응기.
  50. 제 31 항에 있어서,
    상기 고정된 임피던스 정합 엘리먼트는 스트립 라인 회로를 구비하는 플라즈마 반응기.
KR1020047006023A 2001-10-22 2002-09-24 플라즈마에 튜닝되는 샤워헤드 rf 전극을 갖는 아킹 억제된 merie 플라즈마 반응기 KR100557273B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/007,367 2001-10-22
US10/007,367 US6894245B2 (en) 2000-03-17 2001-10-22 Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
PCT/US2002/030399 WO2003036680A1 (en) 2001-10-22 2002-09-24 Merie plasma reactor with showerhead rf electrode tuned to the plasma with arcing suppression

Publications (2)

Publication Number Publication Date
KR20040045913A KR20040045913A (ko) 2004-06-02
KR100557273B1 true KR100557273B1 (ko) 2006-03-07

Family

ID=21725751

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047006023A KR100557273B1 (ko) 2001-10-22 2002-09-24 플라즈마에 튜닝되는 샤워헤드 rf 전극을 갖는 아킹 억제된 merie 플라즈마 반응기

Country Status (7)

Country Link
US (3) US6894245B2 (ko)
EP (1) EP1440456A1 (ko)
JP (1) JP2006502556A (ko)
KR (1) KR100557273B1 (ko)
CN (1) CN1314072C (ko)
TW (1) TW589680B (ko)
WO (1) WO2003036680A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220084000A (ko) * 2013-02-28 2022-06-21 노벨러스 시스템즈, 인코포레이티드 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드

Families Citing this family (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US20050103441A1 (en) * 2001-11-14 2005-05-19 Masanobu Honda Etching method and plasma etching apparatus
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP4370789B2 (ja) * 2002-07-12 2009-11-25 東京エレクトロン株式会社 プラズマ処理装置及び可変インピーダンス手段の校正方法
JP4482308B2 (ja) * 2002-11-26 2010-06-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4584565B2 (ja) * 2002-11-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
KR100526928B1 (ko) * 2003-07-16 2005-11-09 삼성전자주식회사 식각장치
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US20080223409A1 (en) * 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
KR101160642B1 (ko) * 2003-12-12 2012-06-28 세미이큅, 인코포레이티드 고체로부터 승화된 증기의 유동제어
JP2005177935A (ja) * 2003-12-19 2005-07-07 Tdk Corp ナノホール穿孔装置及びナノホール穿孔方法
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US8253057B1 (en) 2004-09-03 2012-08-28 Jack Hunt System and method for plasma generation
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
JP4519695B2 (ja) * 2005-03-29 2010-08-04 三菱重工業株式会社 薄膜製造装置及び薄膜製造方法
US8298336B2 (en) 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US7305311B2 (en) * 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
JP2006303309A (ja) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp プラズマ処理装置
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20070077763A1 (en) * 2005-09-30 2007-04-05 Molecular Imprints, Inc. Deposition technique to planarize a multi-layer structure
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
JP4621621B2 (ja) * 2006-03-31 2011-01-26 株式会社東芝 荷電ビーム描画装置
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
KR100799175B1 (ko) * 2006-04-21 2008-02-01 주식회사 뉴파워 프라즈마 플라즈마 프로세싱 시스템 및 그 제어 방법
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
JP4828316B2 (ja) * 2006-06-13 2011-11-30 三菱電機株式会社 レーザ加工機用のギャップ検出装置及びレーザ加工システム並びにレーザ加工機用のギャップ検出方法
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US8217299B2 (en) * 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
JP2008235464A (ja) * 2007-03-19 2008-10-02 Toshiba Corp 電子ビーム描画装置
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
KR101119627B1 (ko) 2007-03-29 2012-03-07 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
WO2009023135A1 (en) * 2007-08-15 2009-02-19 Applied Materials, Inc. Apparatus for wafer level arc detection at an rf bias impedance match to the pedestal electrode
US7733095B2 (en) * 2007-08-15 2010-06-08 Applied Materials, Inc. Apparatus for wafer level arc detection at an RF bias impedance match to the pedestal electrode
US7750644B2 (en) * 2007-08-15 2010-07-06 Applied Materials, Inc. System with multi-location arc threshold comparators and communication channels for carrying arc detection flags and threshold updating
US7737702B2 (en) * 2007-08-15 2010-06-15 Applied Materials, Inc. Apparatus for wafer level arc detection at an electrostatic chuck electrode
US7768269B2 (en) * 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
US7750645B2 (en) * 2007-08-15 2010-07-06 Applied Materials, Inc. Method of wafer level transient sensing, threshold comparison and arc flag generation/deactivation
US7777599B2 (en) * 2007-11-02 2010-08-17 Applied Materials, Inc. Methods and apparatus for controlling characteristics of a plasma
US8876024B2 (en) 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US8395078B2 (en) 2008-12-05 2013-03-12 Advanced Energy Industries, Inc Arc recovery with over-voltage protection for plasma-chamber power supplies
EP2219205B1 (en) 2009-02-17 2014-06-04 Solvix GmbH A power supply device for plasma processing
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
US8936703B2 (en) * 2009-08-31 2015-01-20 Semicat, Inc. Methods to fabricate non-metal films on semiconductor substrates using physical vapor deposition
US8956516B2 (en) * 2009-08-31 2015-02-17 Semicat, Inc. System and apparatus to facilitate physical vapor deposition to modify non-metal films on semiconductor substrates
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US9850576B2 (en) * 2010-02-15 2017-12-26 Applied Materials, Inc. Anti-arc zero field plate
US8552665B2 (en) 2010-08-20 2013-10-08 Advanced Energy Industries, Inc. Proactive arc management of a plasma load
TWI654762B (zh) 2011-05-05 2019-03-21 日商半導體能源研究所股份有限公司 半導體裝置及其製造方法
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
KR102061367B1 (ko) * 2011-11-23 2020-01-02 램 리써치 코포레이션 대칭적 rf 전달을 위한 주변부에서의 rf 공급 및 대칭적 rf 복귀
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
KR102011535B1 (ko) 2011-11-24 2019-08-16 램 리써치 코포레이션 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버
US20130292057A1 (en) * 2012-04-26 2013-11-07 Applied Materials, Inc. Capacitively coupled plasma source with rf coupled grounded electrode
JP6068849B2 (ja) * 2012-07-17 2017-01-25 東京エレクトロン株式会社 上部電極、及びプラズマ処理装置
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP5723397B2 (ja) * 2013-02-18 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置
TWI717610B (zh) * 2013-08-16 2021-02-01 美商應用材料股份有限公司 用於高溫低壓環境中的延長的電容性耦合的電漿源
KR102298032B1 (ko) * 2013-09-30 2021-09-02 어플라이드 머티어리얼스, 인코포레이티드 고 주파수 무선 주파수에 대한 전극 임피던스를 튜닝하고 저 주파수 무선 주파수를 접지로 종단하기 위한 장치 및 방법
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10510625B2 (en) * 2015-11-17 2019-12-17 Lam Research Corporation Systems and methods for controlling plasma instability in semiconductor fabrication
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
JP6950196B2 (ja) * 2017-02-16 2021-10-13 三菱マテリアル株式会社 プラズマ処理装置用電極板およびプラズマ処理装置用電極板の再生方法
US11469130B2 (en) * 2017-06-16 2022-10-11 Jusung Engineering Co., Ltd. Substrate processing apparatus and rotating electrical connector for vacuum
CN107920411B (zh) * 2017-11-13 2023-09-19 四川大学 一种用于硅基材料加工的混合式等离子体发生器
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
KR101886755B1 (ko) * 2017-11-17 2018-08-09 한국원자력연구원 다중 펄스 플라즈마를 이용한 음이온 공급의 연속화 시스템 및 방법
EP3711081B1 (en) 2017-11-17 2024-06-19 AES Global Holdings, Pte. Ltd. Spatial and temporal control of ion bias voltage for plasma processing
PL3711080T3 (pl) 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
WO2020112108A1 (en) 2017-11-29 2020-06-04 COMET Technologies USA, Inc. Retuning for impedance matching network control
CN109994355B (zh) 2017-12-29 2021-11-02 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
KR102151810B1 (ko) * 2018-10-01 2020-09-04 세메스 주식회사 기판 처리 장치
JP7134863B2 (ja) * 2018-12-27 2022-09-12 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20220238312A1 (en) * 2019-05-29 2022-07-28 Lam Research Corporation Showerhead insert for uniformity tuning
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
WO2021041984A1 (en) 2019-08-28 2021-03-04 COMET Technologies USA, Inc. High power low frequency coils
EP4046283A1 (en) * 2019-10-18 2022-08-24 Nokia Technologies Oy Massive mimo antenna array
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US12027351B2 (en) 2020-01-10 2024-07-02 COMET Technologies USA, Inc. Plasma non-uniformity detection
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply
US20240170256A1 (en) * 2022-11-22 2024-05-23 Tokyo Electron Limited VHF Broadband Coaxial Adapter

Family Cites Families (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2967926A (en) 1958-03-10 1961-01-10 Union Carbide Corp Testing process and apparatus
US2951960A (en) 1959-03-24 1960-09-06 Tung Sol Electric Inc Gaseous discharge device
FR1402020A (fr) 1964-04-27 1965-06-11 Csf Perfectionnements aux sources d'ions
US3555615A (en) * 1968-08-08 1971-01-19 Usm Corp Rotational moulding machines
US3610986A (en) 1970-05-01 1971-10-05 Union Carbide Corp Electron beam source including a pilot nonthermionic, electron source
US4570106A (en) 1982-02-18 1986-02-11 Elscint, Inc. Plasma electron source for cold-cathode discharge device or the like
US4458180A (en) 1982-02-18 1984-07-03 Elscint Ltd. Plasma electron source for cold-cathode discharge device or the like
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US5120466A (en) * 1984-07-13 1992-06-09 Canon Kabushiki Kaisha Fluid crystal device
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
US5006760A (en) * 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
DE3708716C2 (de) * 1987-03-18 1993-11-04 Hans Prof Dr Rer Nat Oechsner Hochfrequenz-ionenquelle
US4973883A (en) * 1987-05-01 1990-11-27 Semiconductor Energy Laborator Co., Ltd. Plasma processing apparatus with a lisitano coil
US4888518A (en) 1987-11-16 1989-12-19 Itt Corporation Gas circulation apparatus for ceramic electron tubes
DE68926923T2 (de) 1988-03-16 1996-12-19 Hitachi Ltd Mikrowellenionenquelle
US5115167A (en) * 1988-04-05 1992-05-19 Mitsubishi Denki Kabushiki Kaisha Plasma processor
DE68912400T2 (de) 1988-05-23 1994-08-18 Nippon Telegraph & Telephone Plasmaätzvorrichtung.
US5055853A (en) * 1988-10-03 1991-10-08 Garnier Robert C Magnetic frill generator
US5107170A (en) * 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US5089083A (en) * 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5225024A (en) * 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
DE3923661A1 (de) * 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung fuer die anpassung der impedanz einer plasmastrecke an einen hochfrequenzgenerator
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5312778A (en) * 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
US5223457A (en) * 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
US5032202A (en) * 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5210466A (en) * 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
JPH04901A (ja) * 1990-04-18 1992-01-06 Mitsubishi Electric Corp プラズマ装置の高周波給電方法及び装置
EP0463408A3 (en) 1990-06-22 1992-07-08 Hauzer Techno Coating Europe Bv Plasma accelerator with closed electron drift
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5274306A (en) * 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5376211A (en) * 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
FR2667980A1 (fr) 1990-10-12 1992-04-17 Sodern Source d'electrons presentant un dispositif de retention de matieres.
US5246532A (en) * 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
JP2501948B2 (ja) * 1990-10-26 1996-05-29 三菱電機株式会社 プラズマ処理方法及びプラズマ処理装置
US5195045A (en) * 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
ATE127615T1 (de) * 1991-05-21 1995-09-15 Materials Research Corp Sanftaetz-einheit fuer modulare bearbeitungsanlagen und ecr-plasmaerzeuger fuer eine solche einheit.
US5432315A (en) 1991-05-31 1995-07-11 Hitachi, Ltd. Plasma process apparatus including ground electrode with protection film
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5198725A (en) * 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
KR0184675B1 (ko) 1991-07-24 1999-04-15 이노우에 쥰이치 챔버내의 전극에 있어서의 실제의 rf파워를 검출 및 제어 가능한 플라즈마 처리장치
US5849136A (en) * 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5175472A (en) * 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
US5273610A (en) * 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5325019A (en) * 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
JP2972477B2 (ja) * 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
US5537004A (en) * 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
TW249313B (ko) * 1993-03-06 1995-06-11 Tokyo Electron Co
JP3236111B2 (ja) * 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
CA2126731A1 (en) * 1993-07-12 1995-01-13 Frank Jansen Hollow cathode array and method of cleaning sheet stock therewith
US5849372A (en) * 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
WO1995008182A1 (en) 1993-09-17 1995-03-23 Isis Innovation Limited Rf plasma reactor
US5467013A (en) * 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5463525A (en) * 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
JP3279038B2 (ja) * 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3365067B2 (ja) * 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
CN1052116C (zh) * 1994-06-15 2000-05-03 精工爱普生株式会社 薄膜半导体器件的制造方法
US5587038A (en) * 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
AU2003195A (en) * 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
IT1269413B (it) * 1994-10-21 1997-04-01 Proel Tecnologie Spa Sorgente di plasma a radiofrequenza
US5576629A (en) * 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
JP2956494B2 (ja) * 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
JPH08225769A (ja) * 1994-11-24 1996-09-03 Mazda Motor Corp ポリオレフィン系樹脂成形品用の塗料用樹脂組成物、それを用いた塗料組成物及びその塗装方法
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5576600A (en) 1994-12-23 1996-11-19 Dynatenn, Inc. Broad high current ion source
US5792376A (en) * 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
JP3778299B2 (ja) * 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5674321A (en) * 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP3169337B2 (ja) * 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6089182A (en) 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
KR100226366B1 (ko) * 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
JPH09106898A (ja) * 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US5965034A (en) 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5942074A (en) 1996-03-29 1999-08-24 Lam Research Corporation Single-piece gas director for plasma reactors
JP3238082B2 (ja) * 1996-05-16 2001-12-10 シャープ株式会社 電子デバイス製造装置
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5770922A (en) * 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
US5866986A (en) 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
JPH10134996A (ja) * 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6152071A (en) * 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
JP3650248B2 (ja) * 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
JP3582287B2 (ja) * 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6155200A (en) * 1997-07-08 2000-12-05 Tokyo Electron Limited ECR plasma generator and an ECR system using the generator
US6110395A (en) * 1997-08-26 2000-08-29 Trikon Technologies, Inc. Method and structure for controlling plasma uniformity
JP2929284B2 (ja) * 1997-09-10 1999-08-03 株式会社アドテック 高周波プラズマ処理装置のためのインピーダンス整合及び電力制御システム
US6291999B1 (en) * 1997-09-30 2001-09-18 Daihen Corp. Plasma monitoring apparatus
US5971591A (en) * 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6251216B1 (en) * 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
US5929717A (en) * 1998-01-09 1999-07-27 Lam Research Corporation Method of and apparatus for minimizing plasma instability in an RF processor
US6449568B1 (en) * 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
US6106663A (en) 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
JP2000114189A (ja) * 1998-10-06 2000-04-21 Toshiba Corp 真空処理装置
JP3818561B2 (ja) * 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
US6213050B1 (en) * 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6188564B1 (en) * 1999-03-31 2001-02-13 Lam Research Corporation Method and apparatus for compensating non-uniform wafer processing in plasma processing chamber
JP3374796B2 (ja) * 1999-08-06 2003-02-10 松下電器産業株式会社 プラズマ処理方法及び装置
US6262538B1 (en) * 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6528751B1 (en) * 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6462481B1 (en) * 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
JP3565774B2 (ja) * 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220084000A (ko) * 2013-02-28 2022-06-21 노벨러스 시스템즈, 인코포레이티드 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드
KR20230079333A (ko) * 2013-02-28 2023-06-07 노벨러스 시스템즈, 인코포레이티드 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드
KR102562923B1 (ko) * 2013-02-28 2023-08-03 노벨러스 시스템즈, 인코포레이티드 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드
KR102662453B1 (ko) * 2013-02-28 2024-04-30 노벨러스 시스템즈, 인코포레이티드 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드

Also Published As

Publication number Publication date
US20040211759A1 (en) 2004-10-28
CN1599946A (zh) 2005-03-23
WO2003036680A1 (en) 2003-05-01
US6894245B2 (en) 2005-05-17
US7132618B2 (en) 2006-11-07
CN1314072C (zh) 2007-05-02
KR20040045913A (ko) 2004-06-02
EP1440456A1 (en) 2004-07-28
US20050236377A1 (en) 2005-10-27
JP2006502556A (ja) 2006-01-19
US7186943B2 (en) 2007-03-06
TW589680B (en) 2004-06-01
US20030136766A1 (en) 2003-07-24

Similar Documents

Publication Publication Date Title
KR100557273B1 (ko) 플라즈마에 튜닝되는 샤워헤드 rf 전극을 갖는 아킹 억제된 merie 플라즈마 반응기
KR100903535B1 (ko) 아킹 억제된 플라즈마에 튜닝되는 오버헤드 rf 전극을갖는 플라즈마 반응기
KR100854620B1 (ko) 낮은 아킹 경향, 원통형 가스 출구들, 및 형상 표면을 갖는플라즈마 리액터 오버헤드 전원 전극
US7220937B2 (en) Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
KR100455091B1 (ko) 플라즈마처리장치
KR100797926B1 (ko) 플라즈마에 동조된 오버헤드 rf 전극을 가진 플라즈마리액터
KR100328135B1 (ko) 플라즈마점화를향상시키기위한전극을가지는유도결합된플라즈마반응로
US7611640B1 (en) Minimizing arcing in a plasma processing chamber
JP6238288B2 (ja) 堆積装置および堆積の非対称性を低減させる方法
EP0819780B1 (en) Inductively coupled HDP-CVD reactor
US20040027781A1 (en) Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20040255863A1 (en) Plasma process apparatus
WO2006074050A2 (en) Electrically enhancing the confinement of plasma
KR102679639B1 (ko) 플라스마 처리 장치 및 플라스마 처리 방법
CN110660635A (zh) 工艺腔室和半导体处理设备
WO2022108753A1 (en) Plasma uniformity control using a static magnetic field
KR20240104212A (ko) 플라스마 처리 장치 및 플라스마 처리 방법
CN115398602A (zh) 等离子处理装置以及等离子处理方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130130

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150129

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180212

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20190207

Year of fee payment: 14