KR100455091B1 - 플라즈마처리장치 - Google Patents

플라즈마처리장치 Download PDF

Info

Publication number
KR100455091B1
KR100455091B1 KR1019970066280A KR19970066280A KR100455091B1 KR 100455091 B1 KR100455091 B1 KR 100455091B1 KR 1019970066280 A KR1019970066280 A KR 1019970066280A KR 19970066280 A KR19970066280 A KR 19970066280A KR 100455091 B1 KR100455091 B1 KR 100455091B1
Authority
KR
South Korea
Prior art keywords
high frequency
upper electrode
frequency power
antenna
plasma
Prior art date
Application number
KR1019970066280A
Other languages
English (en)
Other versions
KR19980063837A (ko
Inventor
아키라 고시이시
준 오야부
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR19980063837A publication Critical patent/KR19980063837A/ko
Application granted granted Critical
Publication of KR100455091B1 publication Critical patent/KR100455091B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(과제) 균일한 처리가 가능한 플라즈마 처리장치를 제공한다.
(해결수단) 본 발명에 의하면, 개구부(102b)내에 제1차폐부재(160) 및 유전부재(158)를 매개해서 소정의 주(周: turn)(예컨대, 1주)의 거의 고리모양의 고주파 안테나(156)를 설치했다. 그리고, 이 고주파 안테나(156)의 중점에 있어서 직렬공진이 일어나도록 접지측에 접속되어 있는 가변콘덴서(172)의 캐패시턴스가 조정된다. 이러한 구성에 의해, 플라즈마 생성공간에 소망하는 전계를 발생시켜서 고밀도 플라즈마를 생성시킬 수 있다. 또, 급전부재(126)는 그 거의 수직방향의 단면형상이 지수함수 r=f(L)로 표시되는 윤곽을 갖도록 형성되어 있다. 따라서, 절연파괴나 고주파전력의 감쇠 등이 생기는 일없이 상부전극(24)에 고주파전력을 공급할 수 있다.

Description

플라즈마 처리장치
본 발명은 플라즈마 처리장치에 관한 것이다.
종래부터, 피처리체, 예컨대 반도체 웨이퍼(이하,「웨이퍼」라 칭함)에 대해 플라즈마처리, 예컨대 에칭처리를 함에 있어서 거의 원통형상의 기밀(氣密)한 처리용기내에 형성되어 있는 처리실의 하부에, 하부전극으로 되는 거의 원통형상의 서셉터(susceptor)를 갖춤과 더불어, 이 서셉터와 대향하는 소정의 위치에 거의 원반모양의 상부전극을 갖춘 용량결합형 에칭장치, 즉 평행평판형 에칭장치가 제안되어 있다.
이러한 에칭장치에 있어서는, 먼저 서셉터상에 웨이퍼를 탑재함과 더불어, 소정의 감압분위기가 유지되어 있는 처리실내에 소정의 처리가스를 도입한다. 그런 다음, 플라즈마 발생용의 소정의 고주파전력을 급전봉을 매개해서 상부전극에 인가하면, 처리실내에 도입되어 있는 소정의 처리가스가 해리되어 플라즈마가 여기된다. 그리고, 서셉터에 대해 인가되어 있는 바이어스용의 소정의 고주파전력에 의해 상기 플라즈마중의 이온이 웨이퍼의 피처리면으로 효과적으로 인입되어 소망하는 에칭처리가 실시되도록 구성되어 있다.
또, 상기 용량결합형 에칭장치보다도 처리실내의 플라즈마밀도를 높임으로써, 고에칭속도에서의 처리가 가능한 유도결합형 에칭장치도 제안되어 있다. 이러한 에칭장치는, 거의 원통형상의 기밀한 처리용기내의 하부에, 하부전극으로 되는 거의 원통형상의 서셉터를 갖추고, 이 처리용기의 외주에 유전벽을 매개해서 거의 고리모양의 고주파코일이 갖추어져 있는 구성으로 되어 있다.
그리고, 에칭처리시에는, 먼저 서셉터상에 웨이퍼를 탑재함과 더불어, 소정의 감압분위기가 유지되어 있는 처리실내에 소정의 처리가스를 도입한다. 그런 다음, 고주파코일에 대해 소정의 고주파전력을 인가하면, 유전벽을 매개해서 처리실내에 전계가 생기고, 이 전계에 의해 처리실내에 도입되어 있는 소정의 처리가스가 해리되어 플라즈마가 여기된다. 그리고, 이 플라즈마중의 이온이 서셉터에 대해 인가되어 있는 바이어스용의 소정의 고주파전력에 의해 웨이퍼의 피처리면으로 효과적으로 인입됨으로써, 소망하는 에칭처리가 실시되도록 구성되어 있다.
그런데, 최근의 반도체 디바이스의 초고집적화 및 소형화에 따라 더 한층의 미세가공기술이 요구되고 있다. 따라서, 에칭처리에 있어서도 예외가 아니라 보다 한층의 선택비의 향상이 요구됨과 더불어, 생산성 향상을 위해 고에칭속도로 보다 대형의 웨이퍼의 처리가 가능한 장치의 개발이 기술적 요구항목으로서 거론되고 있다.
그래서, 웨이퍼에 대해 고선택비 및 고에칭속도에서의 에칭처리를 실시하기 위해, 처리실내의 플라즈마밀도를 높이는 일이나, 처리가스의 도입위치의 변경 등이 시도되고 있다.
그렇지만, 예컨대 용량결합형 에칭장치에 있어서, 플라즈마밀도를 높이기 위해서는, 상부전극에 소망하는 고출력의 고주파전력을 인가하지 않으면 안되고, 이 고주파전력에 의해 발생하는 자기바이어스전압도 고주파전력의 출력의 상승에 따라 증가한다. 그 결과, 에칭처리중에 상부전극이 플라즈마중의 이온에 의해 스퍼터(sputter)되어 소모가 심해지고, 상부전극 교환에 따른 처리능력의 저하라고 하는 문제가 생기는 일이 있다.
또, 급전봉과 상부전극의 접속부 부근에서는, 횡방향의 단면적의 상위에 따라 급격한 임피던스의 변화가 생긴다. 따라서, 상부전극에 인가하는 소정의 고주파전력의 출력의 상승에 따라 상부전극의 주위를 둘러 싸도록 갖추어져 있는 절연부재의 표면에 전류가 흘러 절연파괴나 감쇠가 생긴다거나, 발열하여 절연부재가 파손되는 등의 문제가 생기는 일이 있다.
더욱이, 유도결합형 에칭장치에 있어서는, 고주파 안테나에 대해 인가하는 소정의 고주파전력의 출력을 크게 함으로써, 처리실내에 고밀도의 플라즈마를 여기할 수 있다. 그러나, 그 반면, 처리용기의 내벽면이 스퍼터되어 손상된다고 하는 문제나, 처리실내의 압력분위기가 비교적 높은 경우, 예컨대 20mTorr이상의 경우에는, 플라즈마가 처리용기의 주변부에 편재(偏在)하여 플라즈마밀도가 불균일하게 되어 에칭처리의 안정성 및 재현성이 좋지 않다고 하는 문제가 생기는 일이 있다.
더욱이 또, 유도결합형 에칭장치에 있어서는, 코일이 복수회, 처리용기의 외주에 감겨져 있으므로, 처리용기가 대형화되어 버린다. 또, 웨이퍼의 대구경화에 따라 처리용기가 더 대형화된 경우에는, 소정의 감압분위기를 얻는 것이 곤란하게 된다. 그 결과, 처리실내의 가스의 체재시간, 소위 레지던스 타임(residence time)이 길어지고, 그에 따라 반응가스가 과도하게 해리되어 선택비가 저하됨과 더불어 반응생성물의 배기효율이 저하되며, 그 반응생성물이 피처리체에 부착되어 수율의 저하를 초래할 뿐만 아니라, 처리용기 내벽면이나 상부전극에도 부착된다고 하는 문제가 생기는 일이 있다.
본 발명은 종래의 플라즈마 처리장치가 갖는 상기와 같은 문제점을 감안해서 이루어진 것으로, 유도결합형 플라즈마 처리장치, 예컨대 평행평판형 에칭장치에 소정의 주(周: turn)(예컨대, 1주)의 유도결합형 안테나를 처리용기내에 갖추고, 더욱이 상부전극의 형상을 변경함으로써, 고선택비 및 고에칭속도에서의 처리가 가능해짐과 더불어, 이러한 장치를 대구경화한 경우라도 균일한 플라즈마처리가 가능한 신규하면서 개량된 플라즈마 처리장치를 제공하는 것을 목적으로 하고 있다.
본 발명은, 기밀한 처리실내에 상부전극과 피처리체를 탑재가능한 하부전극을 대향하여 배치하고, 이들 상부전극 및 하부전극에 소정의 고주파전력을 인가함으로써, 상부전극 및 하부전극간에 생성되는 플라즈마를, 하부전극상에 탑재되어 있는 피처리체에 인입하여 플라즈마처리를 실시하는 플라즈마 처리장치에 적용되는 것이다.
그리고, 본 발명은 상기 과제를 해결하기 위해 이하와 같이 구성되어 있다. 즉, 상부전극과 하부전극간에 생성되는 플라즈마 생성공간의 주위를 둘러 싸도록, 소정의 고주파전력을 인가가능한 소정의 주(周)(예컨대, 1주)의 유도결합형 안테나를 배치함과 더불어, 이 안테나의 적어도 플라즈마 생성공간측에는 절연벽(158a)이 배치되고, 또 안테나의 플라즈마 생성공간 이외의 측은 그라운드로 차폐되는 구성으로 되어 있다.
이러한 구성에 의해, 안테나로부터 절연벽을 매개해서 플라즈마 생성공간에 형성되는 소정의 전계의 작용에 의해, 상부전극과 하부전극간에 고밀도 플라즈마가 생성되기 때문에, 에칭속도가 향상된다. 또, 플라즈마 생성공간 이외의 측은 그라운드로 차폐되어 있기 때문에, 에칭에 기여하지 않는 플라즈마가 생성되지 않게 됨과 더불어, 특별히 차폐부재를 갖출 필요가 없다. 그리고, 상기한 안테나는 1주(周)만이기 때문에, 상부전극과 하부전극의 간격을 특별히 넓게 하지 않고 고밀도 플라즈마를 얻을 수 있다. 따라서, 웨이퍼가 대구경화된 경우라도, 상부전극과 하부전극의 거리를 특별히 넓힐 필요가 없기 때문에, 배기능력의 저하에 따른 레지던스 타임의 증가를 방지할 수 있다. 그 결과, 선택비가 향상되고, 반응생성물의 발생을 감소시킬 수 있기 때문에, 에칭특성이 향상된다.
또, 본 발명에 의하면, 안테나는 절연벽을 매개해서 처리실의 벽부분에 내설(內設)됨과 더불어, 안테나는 대기압분위기에 쐬이는 구성으로 되어 있다. 따라서, 안테나는 감압분위기가 아니라 대기압분위기하에 배치되기 때문에, 안테나와 접지되어 있는 처리용기와의 사이에서 불필요한 방전이 생기는 일이 없다.
더욱이, 본 발명에 의하면, 안테나의 그라운드측 경로에는 콘덴서가 설치되어 있고, 그 안테나의 중점에 있어서 직렬공진이 생기도록, 즉
Figure pat00001
이 성립되도록, 콘덴서의 캐패시턴스가 조정되는 구성으로 되어 있다. 따라서, 처리실내의 플라즈마 생성공간에 있어서, 안테나의 급전측으로부터 그라운드측, 즉 접지측에 걸쳐서 비교적 균일화된 전계를 형성시키는 것이 가능하게 된다. 그 결과, 안테나의 플라즈마 생성공간측에 형성되어 있는 절연벽(158a)이 플라즈마중의 이온에 의해 과잉으로 스퍼터된다거나, 반응생성물이 부착되거나 하는 것을 경감시킬 수 있다.
더욱이 또, 본 발명에 따른 플라즈마 처리장치에는, 상부전극에 인가되는 고주파전력의 자기바이어스전압(이하, 「Vdc」라 한다)을 검출하는 검출기와, 이 검출기에 의해 검출된 Vdc가 설정범위내에 유지되도록 제어하는 제어수단이 갖추어져 있다. 플라즈마처리시에 있어서, 상부전극에 플라즈마 발생용의 고주파전력을 인가하면, 상부전극이 플라즈마중의 이온에 의해 스퍼터되어 손상을 받는 경우가 있다. 또, 이 스퍼터작용은, 플라즈마 발생용의 고주파전력의 Vdc의 크기의 영향을 받고 있다. 따라서, 상부전극에 인가하는 고주파전력의 Vdc를 검출하고, 이 검출된 Vdc에 기초하여 상부전극에 인가하는 고주파전력의 출력을 제어수단에 의해 제어함으로써, 상부전극에 대한 과잉의 스퍼터를 억제할 수 있다.
또한, 전술한 바와 같이, 본 발명에 따른 플라즈마 처리장치는, 상부전극에 대해 플라즈마 발생용의 고주파전력을 인가하는 구성이다. 가령 안테나에만 고주파전력을 인가함과 더불어 안테나의 중점을 직렬공진으로 한 경우는, 플라즈마의 착화(着火)가 곤란한 경우가 있다. 또, 안테나에만 고주파전력을 인가하는 구성에서는, 처리실내의 압력분위기가 높은 경우, 예컨대 20mTorr이상인 경우에, 플라즈마가 처리용기의 주변부에 편재해 버리는 경우가 있다. 그래서, 이러한 구성과 같이, 상부전극에 고주파전력을 인가하여 플라즈마를 발생시킴으로써, 플라즈마의 착화가 용이하게 되어 균일한 플라즈마를 여기시킬 수 있다.
그리고, 본 발명에 따른 플라즈마 처리장치는, 상부전극의 처리실측 주변테두리부와 안테나의 처리실측 면이 교환가능한 절연부재(160)에 의해 덮여 있다. 플라즈마처리시에 있어서, 처리실내에 형성되는 플라즈마 생성공간에 접하는 면, 특히 상부전극의 처리실측 주변테두리부의 면과 안테나의 처리실측의 면은 플라즈마중의 이온에 의해 스퍼터되기 쉽다. 따라서, 이러한 구성에 의해, 이 절연부재가 스퍼터되어 손상된 경우라도, 절연부재(160)만을 교환하면 되므로 처리능력이 향상된다.
그런데, 본 발명에 따른 플라즈마 처리장치에 있어서는, 급전봉으로부터 상부전극에 고주파전력을 도입하는 급전라인은, 이하와 같이 구성되어 있다. 즉, 제1직경치수를 갖는 거의 원형 단면의 급전부로부터 제2직경치수를 갖는 거의 원형 단면의 상부전극에 고주파전력을 인가하는 컨넥터부까지의 급전라인의 외형은, 그 거의 수직방향의 단면이 급전부로부터 컨넥터부 방향의 단위길이당의 임피던스의 변화량의 최대치가 최소로 되도록, 즉 지수함수
Figure pat00002
로 표시되는 윤곽을 갖도록 형성되는 구성으로 되어 있다. 따라서, 이러한 구성에 의해, 절연파괴나 고주파전력의 감쇠, 더욱이 급전라인이나 상부전극 등을 덮고 있는 절연부재의 파손이나 발열 등을 방지할 수 있다.
(발명의 실시형태)
이하, 첨부도면을 참조하면서 본 발명에 따른 플라즈마 처리장치를 용량결합형 에칭장치, 즉 평행평판형 에칭장치에 적용한 실시의 한 형태에 대해 설명한다. 즉, 이하의 설명에 있어서, 거의 동일한 기능 및 구성을 갖는 구성요소에 대해서는, 동일 부호를 붙임으로써, 중복설명을 생략하기로 한다.
도 1에 나타낸 에칭장치(100)는, 도전성 재료, 예컨대 표면이 양극산화처리된 알루미늄으로 이루어진 거의 원통형상의 기밀(氣密)하고 개폐가 자유로운 처리용기(102)내에 처리실(104)이 형성되어 있다. 이 처리용기(102)는 접지선(109)에 의해 접지되어 있는 동시에, 처리용기(102)의 하부측벽 및 밑부분은 절연성 재료, 예컨대 세라믹스로 이루어진 절연부재(108)로 덮여지는 구성으로 되어 있다.
그리고, 처리실(104)내에는, 예컨대 표면이 양극산화처리된 알루미늄으로 이루어진 거의 원통형상의 하부전극, 즉 서셉터(susceptor; 106)가 설치되어 있다. 이 서셉터(106)는, 그 상부에 예컨대 12인치의 웨이퍼(W)를 탑재가능한 탑재대를 겸하고 있는 동시에, 도시하지 않은 승강기구가 접속되어 있는 승강축(116)에 의해 처리용기(102)의 밑면상에 지지되어 있다. 또, 승강축(116)의 주위를 둘러 싸도록 하여 서셉터(106)의 밑면부와 처리용기(102)의 밑면부 사이에는 신축자재로 구성된 기밀부재, 즉 벨로우즈(bellows; 118)가 접속되어 있다.
따라서, 서셉터(106)는 도시하지 않은 승강기구의 작동에 의해 승강축(116)을 매개해서 상하방향으로 승강자재로 되고, 예컨대 웨이퍼(W)의 반입 및 반출시에는, 서셉터(106)는 처리실(104)과 도시하지 않은 로드록실(load-lock chamber)과의 사이에 설치되어 있는 게이트 밸브(G)의 부착위치 부근까지 하강하고, 처리시에는 소정의 처리위치까지 상승하는 구성으로 되어 있다. 더욱이, 이러한 서셉터(106)의 승강시에 있어서도, 처리실(104)내는 벨로우즈(118)에 의해 기밀성이 유지되는 구성으로 되어 있다.
또, 웨이퍼(W)를 탑재하는 서셉터(106)의 탑재면에는, 웨이퍼(W)와 거의 같은 형의 정전척(electrostatic chuck; 112)이 설치되어 있다. 이 정전척(112)은, 예컨대 2매의 고분자 폴리이미드 필름에 의해 도전층(112a)이 사이에 끼워진 구성으로 되어 있다. 따라서, 서셉터(106)상, 즉 정전척(112)상에 웨이퍼(W)를 탑재한 후, 도전층(112a)에 대해 고압직류전원((110)으로부터 예컨대 1.5kV의 고압직류전력을 인가하면, 쿨롱의 힘에 의해 웨이퍼(W)를 그 탑재위치에서 흡착유지하는 것이 가능하도록 구성되어 있다.
더욱이, 서셉터(106)상의 웨이퍼(W)의 탑재면의 주위를 둘러 싸는 위치에는, 절연성 소재, 예컨대 세라믹스로 이루어진 거의 고리모양의 포커스 링(focus ring; 114)이 설치되어 있는 바, 에칭처리시에 생성되는 플라즈마중의 에칭이온을 효과적으로 웨이퍼(W)에 입사시키도록 구성되어 있다.
그런데, 서셉터(106)내에는 도시하지 않은 냉매순환로가 거의 고리모양으로 설치되어 있는 동시에, 이 냉매순환로는 도시하지 않은 온도조정기구에 접속되어 있다. 따라서, 이 온도조정기구와 냉매순환로의 사이에서 냉매, 예컨대 물이나 에틸렌글리콜 등을 순환시킴으로써, 에칭처리중에 발생하는 웨이퍼(W)의 열을 서셉터(106)를 매개해서 흡수하여 웨이퍼(W)를 소정의 온도, 예컨대 25℃로 유지하는 것이 가능하도록 구성되어 있다.
또, 서셉터(106)상의 웨이퍼(W)의 탑재면, 즉 정전척(112)내에는 도시하지 않은 전열(傳熱)가스 공급구멍이 거의 동심원모양으로 복수개 형성되어 있는 동시에, 각 전열가스 공급구멍에는 도시하지 않은 전열가스 공급원이 접속되어 있다. 따라서, 이 전열가스 공급원으로부터 전열가스, 예컨대 헬륨가스가 전열가스 공급구멍을 매개해서 웨이퍼(W)의 이면과 정전척(112)의 상면과의 사이에 형성되는 미소공간에 공급됨으로써, 서셉터(106)와 웨이퍼(W) 사이의 전열효율이 높아지도록 구성되어 있다.
더욱이, 서셉터(106)에는 급전경로를 겸한 승강축(116) 및 제1정합기(120)를 매개해서 제1고주파전원(122)이 접속되어 있다. 따라서, 제1고주파전원(122)으로부터 소정의 바이어스용 고주파전력, 예컨대 380kHz∼2MHz, 바람직하게는 800kHz에서 예컨대 1∼5kW의 고주파전력이 제1정합기(120) 및 승강축(116)을 매개해서 서셉터(106)에 인가됨으로써, 에천트 이온(etchant ion)을 효과적으로 웨이퍼(W)에 인입하는 것이 가능하도록 구성되어 있다.
한편, 서셉터(106)와 대향하는 처리실(104) 상벽부에는 도전성 소재, 예컨대 표면이 양극산화처리된 알루미늄으로 이루어진 거의 원반모양의 상부전극(124)이 설치되어 있다. 더욱이, 상부전극(124)의 상부에는, 도전성 재료, 예컨대 알루미늄으로 이루어진 본 실시형태에 따른 급전부재(126)가 설치되어 있다. 여기서, 급전부재(126)의 형상에 대한 상세한 설명은 후술하기로 한다.
그리고, 상부전극(124)과, 급전부재(126)의 상부전극(124)과의 접속부인 컨넥터부(126b)는 거의 동일 직경이고, 서로 밀착되도록 부착되어 있다. 또, 상부전극(124) 및 급전부재(126)는, 이들 상부전극(124) 및 급전부재(126)와 처리용기(102)와의 사이에 설치되어 있는 절연성 재료, 예컨대 석영으로 이루어진 상부전극 지지부재(128)에 의해 지지되어 있다. 그리고, 부착시에는, 상부전극(124)의 서셉터(106)에 대향하는 면만이 처리실(104)내의 분위기에 쐬이는 구성으로 되어 있다.
더욱이, 급전부재(126)의 밑면부에는 거의 원반모양의 홈이 설치되어 있고, 상부전극(124)이 접속된 경우에는 중공부(中空部; 126a)가 형성되는 구성으로 되어 있다. 또, 상부전극(124)에는 복수의 가스도입구멍(124a)이 설치되어 있고, 이 가스도입구멍(124a)을 매개해서 급전부재(126)와의 사이에 형성되는 중공부(126a)와 처리실(104)이 서로 연통(連通)하도록 구성되어 있다.
그리고, 급전부재(126)에는, 중공부(126a)와 연통하는 가스도입경로(130)가 내설됨과 더불어, 가스도입관(132), 밸브(134), 유량제어장치(MFC(mass flow con troller); 136)를 매개해서 가스공급원(138)에 접속되어 있다. 따라서, 가스공급원(138)으로부터 소정의 처리가스가 공급되면, 그 처리가스는 유량제어장치(MFC; 136), 밸브(134), 가스도입관(132), 가스도입경로(130), 중공부(126a) 및 가스도입구멍(124a)을 매개해서 처리실(104)내로 균일하게 도입되는 구성으로 되어 있다.
또한, 처리용기(102)의 하부측벽에는 배기관(140)이 설치되어 있고, 이 배기관(140)내와 처리실(104)내는 배기가스흐름을 조정하기 위한 팬팅판(panting plate)으로 이루어진 배기판(142)을 매개해서 서로 연통하도록 구성되어 있다. 더욱이, 배기관(140)에는, 예컨대 터보분자 펌프로 이루어진 진공흡인기구(P; 144)가 접속되어 있다. 따라서, 진공흡인기구(P; 144)의 작동에 의해 배기관(140) 및 배기판(142)을 매개해서 처리실(104)내가 진공흡인되어 소정의 임의의 감압분위기, 예컨대 1∼100mTorr의 압력으로 유지되도록 구성되어 있다.
그런데, 급전부재(126)의 상부 거의 중앙에는 급전봉(146)의 단부가 삽입되어 있고, 이 급전봉(146)은 절연성 소재, 예컨대 세라믹스로 이루어진 거의 고리모양의 급전봉 지지부재(148)의 거의 중심부를 관통하도록 하여 지지되는 구성으로 되어 있다. 더욱이, 급전봉(146)의 다른쪽 단은 처리용기(102) 외부로 돌출되어 있는 동시에, 제2정합기(152)를 매개해서 제2고주파전원(154)에 접속되어 있다.
따라서, 제2고주파전원(154)으로부터 소정의 플라즈마 발생용의 고주파전력, 예컨대 13.56∼100MHz, 바람직하게는 27.12MHz에서 0.5∼4kW의 고주파전력이 제2정합기(152), 급전봉(146) 및 급전부재(126)를 매개해서 상부전극(124)에 인가되면, 처리실(104)내에 소정의 전계가 생기도록 구성되어 있다. 그리고, 처리시에는, 상기 전계에 의해 처리실(104)내에 도입되어 있는 소정의 처리가스가 해리되어 플라즈마가 여기되도록 구성되어 있다.
또, 급전봉(146)에는, 본 실시형태에 따른 센서(150)가 접속되어 있다. 이 센서(150)는, 소정의 플라즈마 발생용 고주파전력에 의해 발생하는 Vdc를 측정하는 것으로, 센서(150)의 출력측은 제2고주파전원(154)에 접속되어 있다. 따라서, 처리시에는, 상부전극(124)에 인가되는 고주파전력의 Vdc가 센서(15)에 의해 측정됨과 더불어, 이 Vdc에 기초하여 제2고주파전원(154)이 제어되어 고주파전력의 출력의 크기가 적절히 조정되는 구성으로 되어 있다.
여기서, 상부전극(124)에 인가하는 고주파전력의 출력을 제어하는 이유에 대해 설명한다. 에칭처리시에는, 상부전극(124)은 플라즈마중의 이온에 의해 스퍼터되어 손상을 받지만, 이 손상의 정도는 Vdc의 크기의 영향을 받는다. 또, 상부전극(124)에는 처리시에 발생하는 반응생성물 등의 부착물이 부착되지만, 상기 이온이 상부전극(124)을 스퍼터함으로써, 부착물을 제거하는 역할도 담당하고 있다.
따라서, 플라즈마중의 이온은 상부전극(124)에 부착되는 부착물을 스퍼터하여 제거함과 더불어, 상부전극(124)에 손상을 주지 않는 정도의 상태로 제어되는 것이 바람직하다. 그래서, 본 실시형태에 있어서는, 웨이퍼(W)의 실리콘산화막을 에칭처리하는 경우에는, 상부전극(124)의 Vdc가 -80∼-200V의 범위내로 되도록 제어하는 구성으로 되어 있다. 한편, 가령 Vdc를 상기 범위내의 전압보다 높게 설정한 경우, 즉 -30V로 설정한 경우에는 상부전극(124)은 스퍼터되지 않기 때문에 부착물의 부착이 진행되고, 반대로 낮게 설정한 경우에는 상부전극(124)의 손상이 심해진다.
다음에는 본 실시형태에 따른 급전부재(126)의 형상에 대해 상세히 설명한다.
급전부재(126)의 형상은, 이하와 같이 구성되어 있다. 즉, 제1직경치수를 갖는 거의 원형 단면의 급전부재(126)의 상단부로부터, 제2직경치수를 갖는 거의 원형 단면의 상부전극(124)으로 소정의 고주파전력을 인가하는 컨넥터부(126b)의 외형은, 그 거의 수직방향의 단면형상이 상부전극(124)의 중심축을 L축으로 하고 상부전극(124)의 상면상의 반경방향축을 r축으로 하는 직교좌표계에 있어서, 지수함수 r=f(L)로 표시되는 윤곽을 갖도록 구성되어 있다.
즉, 전술한 바와 같이 급전부재(126)는 그 주위를 절연성 소재로 이루어진 상부전극 지지부재(128)에 의해 덮도록 하여 지지되어 있다. 따라서, 접지된 처리용기(102)와 급전부재(126)와 상부전극 지지부재(128)는, 소위 동축구조라고 생각할 수 있다. 그래서, 상기 동축구조라고 생각한 경우에 있어서의 급전부재(126)의 단위길이당 임피던스(Z0)는, 다음 식 (3)으로 나타낼 수 있다.
Figure pat00003
여기서, εr은 상부전극 지지부재(128)의 비유전율, R과 r은 도 2에 나타낸 바와 같이 각각 급전부재(126) 중심으로부터 상부전극 지지부재(128)의 외주까지의 거리, 및 급전부재(126)의 중심으로부터 외주까지의 거리이다.
또, 도 3에 나타낸 바와 같이, 급전부재(126´)의 상단부의 외주에 A점을 정하고, 그 A점으로부터 연직(鉛直)방향의 상부전극(124)의 상단부에 B점을 정하면, A점 및 B점에서의 각각의 단위길이당 임피던스 ZA 및 ZB는, ZA
Figure pat00004
이고, ZB
Figure pat00005
로 표현된다. 따라서, A점∼B점간의 평균의 단위길이당의 임피던스의 평균(Zave)은, 식 (4) 및 식 (5)로부터,
Figure pat00006
로 된다. 여기서, r1은 급전부재(126´)의 상단부에서의 횡방향의 반경이고, r2는 급전부재(126´)의 하단부에서의 횡방향의 반경이며, 또 L1은 급전부재(126´)의 상단부로부터 하단부까지의 수직방향의 거리이다.
그리고, A점∼B점 사이에서의 단위길이당 임피던스의 변화량(ΔZ)은, 급전부재(126´)의 반경(r)의 함수로서 나타낼 수 있다. 또, 상기 반경(r)은 상부전극(124)의 축방향의 거리(L)의 함수로서,
r = f(L) (7)
로 나타낼 수 있다.
따라서, ΔZ는,
Figure pat00007
로 되고, 식 (7) 및
Figure pat00008
로부터, 식 (8)은,
Figure pat00009
로 된다.
그런데, 도 4에 나타낸 종래의 급전부재(126´)와 상부전극(124)과 같이, 이들 급전부재(126´)와 상부전극(124)의 외경이 극단적으로 다른 경우는, 임피던스의 변화량의 최대치가 최소로 되기 위해서는,
Figure pat00010
로 되지 않으면 안된다. 그 이유로서는, 고주파전력을 사용하는 경우, 특히 초단파(VHF)영역에 있어서, 표피효과의 영향을 고려하지 않으면 안되기 때문이다.
또, 급전부재(126˝)와 상부전극(124)의 외경거리의 변화량, 즉 임피던스의 변화량(ΔZ)이 큰 경우에는, 전계의 집중이 생기는 일이 있다. 특히 인가하는 고주파전력의 주파수가 높아질수록 전계의 집중이 한층 현저해진다. 따라서, 도 4에 나타낸 종래의 급전부재(126˝) 및 상부전극(124)의 구조에 있어서는, 급전부재(126˝)의 하단부에 정한 C점과 B점의 사이에서 전계집중이 생기는 일이 있다.
그래서, A점∼B점 사이에 있어서, 임피던스의 변화량(ΔZ)의 최대치를 최소로 하기 위해서는, 식 (11)이 성립하지 않으면 안되기 때문에,
Figure pat00011
로 된다.
그리고, 식 (12)를 f(L)에 대해 푼다. 먼저, 식 (12)를 다음 식 (13)과 같이 다시 쓴다.
Figure pat00012
여기서, f, α 및 β는 다음과 같이 정의된다.
Figure pat00013
식 (12)를 f(L)에 대해 풀었을 때, 다음 식 (15)를 얻을 수 있다.
Figure pat00014
따라서, 급전부재(126)의 외경형상은 지수함수, 즉 식 (2)로부터 구할 수 있다. 여기서, 급전부재(126)의 외경형상은 도 5에 나타낸 바와 같이 R, r, r1, r2, L 및 L1의 값, 즉 본 실시형태를 적용하는 장치의 구성에 따라 임의로 설정할 수 있다는 것은 말할 필요도 없다.
이상 설명한 바와 같이, 본 실시형태에 따른 형상의 급전부재(126)를 에칭장치(100)에 채용함으로써, 급전부재(126)와 상부전극(124) 사이의 급격한 임피던스의 변화를 방지하여 임피던스의 변화량을 일정하게 유지할 수 있다. 따라서, 절연파괴나 고주파전력의 감쇠, 더욱이 급전부재(126) 및 상부전극(124)을 덮고 있는 상부전극 지지부재(128)의 파손이나 발열 등을 방지할 수 있기 때문에, 웨이퍼(W)에 대해 균일한 플라즈마처리를 실시하는 것이 가능하게 되어 처리능력의 향상을 도모할 수 있다. 한편, 도 3∼도 5에 있어서 센서(15)는 생략하여 도시하고 있다.
다음에는, 다시 도 1을 참조하면서 본 실시형태에 따른 유도결합형 안테나의 구성에 대해 상세히 설명한다. 처리용기(102)의 측벽 거의 중앙부에는 거의 고리모양의 달아 낸 부분(102a)이 형성되어 있다. 또, 이 달아 낸 부분(102a)의 상부전극(124)과 서셉터(106) 사이에 형성되는 플라즈마 생성공간측에는 거의 고리모양의 개구부(102b)가 설치되어 있다.
그리고, 이 개구부(102b)내에는, 도전성 재료, 예컨대 표면에 은도금이 실시된 동으로 이루어진 거의 관모양의 본 실시형태에 따른 고주파 안테나(156)가 거의 고리모양으로 1주(周: turn)만 설치되어 있다. 또, 고주파 안테나(156)는 처리실(104)내에 형성되는 플라즈마 생성공간의 주위를 둘러 싸는 위치에 배치되어 있다.
더욱이, 고주파 안테나(156)와 개구부(102b)의 내벽면과의 사이에는, 유전성 재료, 예컨대 석영으로 이루어진 유전부재(158)가 설치되어 있다. 그리고, 고주파 안테나(156)는 제2유전부재(158b)상에 배치됨과 더불어, 대기압분위기의 공간부(157)를 매개해서 제1유전부재(158a)에 의해 덮이는 구성으로 되어 있다. 따라서, 고주파 안테나(156)는 처리실(104)내에 형성되는 플라즈마 생성공간측 이외의 부분이 공간부(157) 및 유전부재(158)를 매개해서 접지선(109)에 의해 접지된 처리용기(102)에 의해 전기적으로 그라운드로 차폐되는 구성으로 되어 있다. 또한, 개구부(102b)의 개구단 부근의 내벽면에는 O링(159)이 설치되어 있다. 따라서, 유전부재(158)가 부착된 때에는, 처리실(104)과 공간부(157)가 기밀하게 구획(區劃)되기 때문에, 공간부(157)내의 대기압분위기가 유지되는 구성으로 되어 있다.
그런데, 플라즈마에 쐬이는 처리실(104) 측벽부, 즉 달아 낸 부분(102a)으로부터 상부전극(124)의 외주부에 걸친 면은 제1차폐부재(160)에 의해 덮이는 구성으로 되어 있다. 이 제1차폐부재(160)는 유전성 재료, 예컨대 석영으로 이루어지고, 종방향의 단면이 거의 역L자모양의 형상으로 되어 있는 동시에, 상기 소정의 면에 대해 밀착되도록 거의 고리모양으로 배치되어 있다.
따라서, 제1차폐부재(160)는 에칭처리중에 발생하는 반응생성물의 부착이나 플라즈마중의 이온에 의해 스퍼터되는 등의 영향으로부터, 달아 낸 부분(102a), 상부전극 지지부재(128) 및 상부전극(124)을 보호함과 더불어 반응생성물의 부착이나 에칭된 경우 등일 때에는 제1차폐부재(160)만을 교환하면 되도록 구성되어 있다.
또한, 처리용기(102)의 달아 낸 부분(102A)의 밑면부에도, 절연성 재료, 예컨대 세라믹스로 이루어진 거의 원반모양의 제2차폐부재(162)가 밀착되도록 설치되어 있고, 플라즈마의 회입(回入)을 방지함과 더불어, 반응생성물이 부착된 경우, 이 제2차폐부재(162)만을 교환할 수 있다.
다음에는 고주파 안테나(156)에 대한 소정의 고주파전력의 급전 및 접지구성에 대해 설명한다. 고주파 안테나(156)의 한쪽 단에는 급전경로(164)가, 또 다른쪽 단에는 접지경로(200; 도 6 참조)가 접속되어 있다. 여기서, 급전경로(164) 및 접지경로(200)는 고주파 안테나(156)와 거의 동일 재료로 구성되어 있고, 또한 종방향의 단면형상도 거의 동일 형상이다.
먼저, 고주파 안테나(156)에 접속되어 있는 급전경로(164)에 대해 설명하면, 급전경로(164)는 달아 낸 부분(102a)내에 설치되어 있는 관통구(102c)내를 통과한 후, 상부전극 지지부재(128) 및 처리용기(102)의 상벽면에 설치되어 있는 거의 고리모양의 절연링(167)을 관통하여 외부로 연장되는 구성으로 되어 있다. 한편, 급전경로(164)와 관통구(102c) 내벽과의 사이에는 전기적 도통을 방지하기 위한 소정의 공간이 설치되어 있다.
또, 관통구(102c)의 아랫쪽에는 급전경로 지지부재(166)가 장치되어 있고, 이 급전경로 지지부재(166)에 의해 급전경로(164)가 지지되는 구성으로 되어 있다. 이 급전경로 지지부재(166)는 절연성 재료, 예컨대 세라믹스로 이루어진 거의 고리모양이고, 상부의 형상은 연면(沿面)거리(creeping distance)를 벌어 고주파전력의 전계의 감쇠를 방지하기 위한 凹凸을 갖는 구성으로 되어 있다.
한편, 고주파 안테나(156)에 접속되어 있는 접지경로(200)도 급전경로(164)와 거의 동일한 구성으로 부착되어 있다. 즉, 접지경로는 고주파 안테나(156)로부터 제1유전부재(158a) 및 급전경로 지지부재(166)와 거의 동일한 구성의 도시하지 않은 접지경로 지지부재를 관통하여 달아 낸 부분(102a)내의 도시하지 않은 관통구내를 통과한 후, 상부전극 지지부재(128) 및 처리용기(102)의 상벽면을 관통하여 외부로 연장되는 구성으로 되어 있다.
또한, 고주파 안테나(156), 급전경로(164) 및 접지경로(200)의 관내에는, 예컨대 물이나 에틸렌글리콜 등의 냉매가 순환하는 구성이기 때문에, 에칭처리중에 발생하는 열을 흡수하여 고주파 안테나(156)의 주변부의 가열을 방지할 수 있다.
그리고, 상기와 같이 구성된 급전경로(164)는 제3정합기(168)를 매개해서 제3고주파전원(170)에 접속되어 있다. 따라서, 제3고주파전원(170)으로부터 소정의 고주파전력, 예컨대 13.56∼27.12MHz, 바람직하게는 13.56MHz에서 1∼4kW의 고주파전력이 제3정합기(168) 및 급전경로(164)를 매개해서 고주파 안테나(156)에 인가되는 구성으로 되어 있다.
또, 도 6에 나타낸 접지경로(200)에는, 100∼1000pF의 범위내에서 임의로 설정가능한 가변콘덴서(172)가 접속되어 있고, 이 가변콘덴서(172)를 매개해서 접지경로(200)가 접지되어 있다. 따라서, 고주파 안테나(156)와 처리실(104) 사이에는 도 6a, 도 6b에 나타낸 등가회로로 표시되는 안테나회로가 형성된다. 그리고, 본 실시형태에 의하면, 가변콘덴서(172)의 정전용량을 적절히 조정함으로써, 코일로 간주되는 고주파 안테나(156)의 중점을 직렬공진의 상태로 할 수 있다.
그래서, L을 고주파 안테나(156)의 인덕턴스, C를 콘덴서의 캐패시턴스, ω를 제3고주파전원(170)으로부터 고주파 안테나(156)로 인가되는 소정의 고주파전력의 각주파수로 하면,
Figure pat00015
이 성립되도록 콘덴서의 캐패시턴스(C)를 조정함으로써, 고주파 안테나(156)의 중점이 직렬공진의 상태로 된다.
여기서, 콘덴서의 캐패시턴스(C)와, 고주파 안테나(156)의 안테나회로의 중점에서의 직렬공진의 상태와의 관계에 대해 도 7을 참조하면서 설명한다. 본 측정결과는, 직경 12인치의 실리콘 웨이퍼(W)상의 실리콘산화막에 대해, 처리가스로 C4F8/Ar/O2(유량은 각각 20/560/8sccm이다)를 사용하고, 처리실(104)내를 40mTorr의 감압분위기로 유지한 후, 상부전극(124)에 대해 27.12MHz에서 1000W의 고주파전력을 인가하고, 서셉터(106)에 대해 800kHz에서 1500W의 고주파전력을 인가하며, 고주파 안테나(156)에 대해 13.56MHz에서 1500W의 고주파전력을 인가하여 에칭처리를 실시한 경우의 값이다. 또, 고주파 안테나(156)에 접지경로(200)를 매개해서 접속되어 있는 가변콘덴서(172)의 캐패시턴스는, 200pF 및 400pF로 설정하여 측정했다.
그리고, 동도면중에 있어서 횡축에 나타낸 것은 그라운드를 기준으로 했을 때의 고주파 안테나(156)의 각 부의 인덕턴스로서 단위는 nH이고(단, 실제로는
Figure pat00016
로 계산한 것이고, 정식으로는 인덕턴스가 아니며 단위도 nH가 아니다.), 마주 보아 좌측의 종축에 나타낸 것은 고주파 안테나(156)에 인가되는 소정의 고주파전력의 피크(peak)로부터 피크까지의 전압(이하, 「Vpp」라 한다)으로서 단위는 V이다. 또, 마주 보아 우측의 종축에 나타낸 것은 고주파 안테나(156)와 거의 평행하게 대향하는 위치의 제1차폐부재(160)의 처리실측의 면에 실험용으로 붙인 열산화막, 즉 실리콘산화막의 에칭속도로서 단위는 nm/분이다.
먼저, 고주파 안테나(156)의 각 부의 고주파전력의 Vpp와 고주파 안테나(156)의 인덕턴스의 관계는, 동도에 나타낸 바와 같은 아래로 凸한 방물선(方物線)으로 나타낼 수 있다. 또, 가변콘덴서(172)의 캐패시턴스를 200pF 또는 400pF로 한 경우라도, 동일한 방물선상에 플로트할 수 있다.
도 7에 있어서, IN_Vpp는 고주파 안테나(156)와 급전경로(164)의 접속부에서의 고주파전력의 Vpp이고, 또 OUT_Vpp는 고주파 안테나(156)와 접지경로(200)의 접속부에서의 고주파전력의 Vpp이다. 먼저, 가변콘덴서(172)의 캐패시턴스가 200pF인 경우에는, 고주파 안테나(156)와 급전경로(164)의 접속부에서의 IN_Vpp는 800V이고, 인덕턴스는 100nH이다. 또, 고주파 안테나(156)와 접지경로(200)의 접속부에서의 OUT_Vpp는 300V이고, 인덕턴스는 -700nH이다. 한편, 가변콘덴서(172)의 캐패시턴스가 400pF인 경우에는, 고주파 안테나(156)와 급전경로(164)의 접속부에서의 IN_Vpp는 1300V이고, 인덕턴스는 300nH이다. 또, 고주파 안테나(156)와 접지경로(200)의 접속부에서의 OUT_Vpp는 1700V이고, 인덕턴스는 -400nH이다.
그리고, 고주파 안테나(156)의 중점이 직렬공진으로 되도록, 가변콘덴서(172)의 캐패시턴스의 값을 설정한 경우는, IN_Vpp와 OUT_Vpp가 같아지기 때문에, 도 7에 나타낸 방물선의 최하점이 고주파 안테나(156)의 중점으로 된다. 따라서, 직렬공진의 상태일 때에는, 고주파 안테나(156)의 각 점에서의 고주파전력의 Vpp의 변동이 작아져서 평균화된다. 그러나, 이 Vpp가 평균화된 상태라도, 반응생성물의 부착성이 강한 경우와 스퍼터성이 강한 부분이 생기는 바, 고주파 안테나(156)에 인가하는 고주파전력의 크기를 증감시킴으로써, 반응생성물의 부착성과 스퍼터성의 정도를 제어할 수 있다.
또한, 가변콘덴서(172)의 캐패시턴스를 200pF와 400pF로 고정하여 측정하고 있지만, 본 발명은 이러한 구성에 한정되지 않고, 고주파 안테나(156)의 중점이 직렬공진으로 되도록, 즉 IN_Vpp와 OUT_Vpp가 같아지도록 가변콘덴서(172)의 캐패시턴스를 조정하면 좋다. 또, 에칭장치(100)의 조정시에, 고주파 안테나(156)의 중점이 직렬공진으로 되도록 가변콘덴서(172)를 조정한 후에는, 가변콘덴서(172)의 값을 변화시킬 필요는 없다.
한편, 열산화막의 에칭속도는, 고주파 안테나(156)에서의 고주파전력의 Vpp가 약 1200V이상인 경우에는 동 Vpp의 증가에 비례하여 증가한다. 또, 동 Vpp가 약 1200V이하인 경우에는, 동 에칭속도는 약 30∼100nm/분의 범위내로 된다.
그런데, 통상의 에칭처리시에는, 고주파 안테나(156)의 처리실측은 제1차폐부재(160)에 의해 덮여 있기 때문에, 열산화막 대신에 제1차폐부재(160)가 스퍼터된다. 또, 동시에 반응생성물 등으로 이루어진 부착물이 생성되고, 이 부착물이 제1차폐부재(160)의 처리실(104)측의 면에 부착된다. 그 결과, 고주파 안테나(156)와 플라즈마 생성공간 사이의 Q값에 변동이 생겨 고주파 안테나(156)에 인가되는 고주파전력에 의해 생성되는 플라즈마의 균일성이 저하되는 일이 있다.
그래서, 제1차폐부재(160)에 부착된 부착물을 스퍼터하여 제거하는 정도의 에칭성이 생기는 것이 바람직하고, 이 경우의 에칭속도는 상기 조건에 있어서는 예컨대 120nm/분이다. 따라서, 고주파 안테나(156)의 전주(全周), 즉 고주파 안테나(156)에 대향하는 제1차폐부재(160)의 처리실(104)측의 면의 전주에 걸쳐서, 상기 소망하는 에칭속도로 비교적 균일하게 스퍼터되도록, 고주파 안테나(156)에 접지경로(200)를 매개해서 접속되어 있는 가변콘덴서(172)의 캐패시턴스를 조정하여 고주파 안테나(156)의 중점을 직렬공진의 상태로 한다.
예컨대, 가변콘덴서(172)의 캐패시턴스를 200pF로 한 경우에는, 고주파 안테나(156)의 급전경로(164)의 접속부의 에칭속도는 약 90nm/분이다. 그 후 일단 약 80nm/분으로 감소하지만, 다시 상승하여 비교적 단거리에서 상기 소망하는 에칭속도(120nm/분)를 넘어 버린다. 더욱이, 에칭속도는 접지경로(200)의 접속부방향으로의 거리에 비례하여 급격히 상승하고, 접지경로의 접속부에서의 에칭속도는 약 310nm/분에까지 도달한다. 따라서, 제1차폐부재(160)의 고주파 안테나(156)에 대향하는 처리실(104)측의 면의 대부분, 특히 고주파 안테나(156)의 접지측이 과잉으로 에칭되어 버린다.
다음으로, 예컨대 가변콘덴서의 캐패시턴스를 400pF로 한 경우에는, 고주파 안테나(156)와 급전경로(164)의 접속부에서의 열산화막의 에칭속도는 약 130nm/분이다. 그 후, 접지경로(200)와의 접속부로 향함에 따라 일단 에칭속도는 약 80nm/분까지 저하하고, 그 후 상승한다. 그리고, 고주파 안테나(156)와 접지경로(200)와의 접속부에서의 에칭속도는 약 160nm/분이다.
이와 같이, 고주파 안테나(156)에 접지경로(200)를 매개해서 접속되어 있는 가변콘덴서(172)의 캐패시턴스를, 예컨대 400pF로 설정한 경우에는, 동 캐패시턴스를 200pF로 설정한 경우에 비해, 고주파 안테나(156)의 전주, 즉 고주파 안테나(156)에 대향하는 제1차폐부재(160)의 처리실(104)측의 면의 전주에 걸쳐서, 상기 소망하는 에칭속도(120nm/분)에 비교적 가까운 값의 에칭속도로 에칭된다. 따라서, 제1차폐부재(160)에 부착되는 부착물을 효과적으로 제거할 수 있다.
더욱이, 본 실시형태에 있어서는, 고주파 안테나(156)의 안테나회로의 중점에서, 고주파 안테나(156)에서의 고주파전력의 Vpp가 최저치로 되도록, 즉 직렬공진의 상태로 되도록, 고주파 안테나(156)에 접지경로(200)를 매개해서 접속되어 있는 가변콘덴서(172)의 캐패시턴스를 설정하는 것이 바람직하다.
이상 본 발명의 바람직한 실시의 한 형태에 대해 첨부도면을 참조하면서 설명했지만, 본 발명은 이러한 구성에 한정되지 않는다. 특허청구범위에 기재된 기술적 사상의 범주에 있어서 당업자라면 각종의 변경예 및 수정예에 생각이 미칠 수 있는 것이고, 그들 변경예 및 수정예에 대해서도 본 발명의 기술적 범주에 속하는 것이라고 양해된다.
예컨대, 상기 실시형태에 있어서는 고주파 안테나(156)내에 냉매를 순환시켜 온도조정을 도모하는 구성을 예로 들어 설명했지만, 본 발명은 이러한 구성에 한정되지 않는 바, 고주파 안테나를 거의 봉(棒)모양으로 하고, 특별히 냉매를 순환시키는 구성으로 하지 않아도 본 발명은 실시가능하다.
또, 상기 실시형태에 있어서는 고주파 안테나(156)의 유전부재(158)를 매개한 처리실(104)측의 면으로부터 상부전극(124)의 외주부의 처리실(104)측의 면에 걸쳐서, 거의 고리모양이고, 종방향의 단면이 거의 역L자모양의 제1차폐부재(160)를 설치한 구성을 예로 들어 설명했지만, 본 발명은 이러한 구성에 한정되지 않고, 차폐부재를 2이상의 부재로 구성해도 좋다.
더욱이, 상기 실시형태에 있어서는 급전봉(146)과 상부전극(124)의 사이에 급전부재(126)를 설치한 구성을 예로 들어 설명했지만, 본 발명은 이러한 구성에 한정되지 않고, 급전봉과 급전부재를 일체성형한 구성으로 해도 본 발명은 적용가능하다.
더욱이 또, 상기 실시형태에 있어서는 급전봉(146)과 상부전극(124)의 사이에 설치되어 있는 급전부재(126)의 수직방향의 단면형상을, 식 (2)로 나타낸 지수함수 r=f(L)로 표시되는 윤곽을 갖도록 구성했지만, 본 발명은 이러한 구성에 한정되지 않고, 예컨대 급전부재의 횡방향의 직경이 급전봉의 접속부로부터 상부전극을 향하여 잠시 확대되는 구성으로 해도 좋다.
그리고, 상기 실시형태에 있어서는 반도체 웨이퍼(W)에 대해 에칭처리를 실시하는 에칭장치를 예로 들어 설명했지만, 본 발명은 이러한 구성에 한정되지 않고, 피처리체를 LCD용 유리기판으로 해도 좋고, 또 본 발명은 CVD장치 등에 대해서도 적용하는 것이 가능하다.
이상 설명한 바와 같이 본 발명에 의하면, 플라즈마 생성공간의 주위에 1주(周: turn)의 안테나를 갖추었기 때문에, 처리시간을 특별히 확장시키지 않고 고밀도 플라즈마를 생성할 수 있다. 따라서, 배기능력이 저하되지 않기 때문에, 레지던스 타임을 소망하는 상태로 제어할 수 있어 에칭특성이 향상된다. 또, 안테나의 플라즈마 생성공간 이외의 측은 그라운드로 차폐되고, 또한 안테나는 대기압분위기하에 배치되기 때문에, 그 공간에만 균일한 플라즈마를 생성하는 것이 가능하다. 더욱이, 안테나의 중점에서 직렬공진이 생기도록 콘덴서의 용량이 조정되기 때문에, 안테나의 전주에 걸쳐 소망하는 전계를 생성시킬 수 있다. 더욱이 또, 상부전극에 인가하는 고주파전력의 Vdc에 기초하여 그 전력의 출력을 제어하는 구성이기 때문에, 상부전극에 대한 과잉의 스퍼터를 억제하는 것이 가능하다. 그리고, 급전라인은 거의 수직방향의 단위길이당의 임피던스의 변화량의 최대치가 최소로 되는 형상이기 때문에, 절연파괴나 고주파전력의 감쇠 등을 방지할 수 있다.
도 1은 본 발명을 적용가능한 에칭장치의 실시의 한 형태를 나타낸 개략적인 단면도이고,
도 2는 도 1에 나타낸 에칭장치에서의 급전부재와 상부전극 지지부재의 배치관계를 나타낸 개략적인 설명도,
도 3은 도 1에 나타낸 에칭장치에서의 급전부재의 형상을 설명하기 위한 개략적인 설명도,
도 4는 도 1에 나타낸 에칭장치에서의 급전부재의 형상을 설명하기 위한 개략적인 설명도,
도 5는 도 1에 나타낸 에칭장치에서의 급전부재의 형상을 설명하기 위한 개략적인 설명도,
도 6은 도 1에 나타낸 에칭장치에서의 고주파 안테나의 안테나회로의 등가회로 및 안테나의 형상을 설명하기 위한 개략적인 설명도,
도 7은 도 1에 나타낸 에칭장치에서의 고주파 안테나의 안테나회로의 중점에서의 직렬공진상태를 설명하기 위한 개략적인 설명도이다.
〈도면의 주요부분에 대한 부호의 설명〉
102 --- 처리용기, 104 --- 처리실,
106 --- 서셉터, 124 --- 상부전극,
126 --- 급전부재, 128 --- 상부전극 지지부재,
146 --- 급전봉, 150 --- 센서,
154 --- 제2고주파전원, 156 --- 고주파 안테나,
157 --- 공간부, 158 --- 급전부재,
160 --- 제1차폐부재, W --- 웨이퍼.

Claims (7)

  1. 플라즈마 생성공간에 배치되는 피처리체에 대해 플라즈마처리를 실시하는 플라즈마 처리장치에 있어서,
    기밀한 처리실내에 배치된 상부전극과,
    상기 상부전극과 대향하여 배치되어 상기 피처리체를 탑재하는 하부전극,
    상기 상부 및 하부전극 사이에 상기 플라즈마 생성공간을 형성하도록 상기 상부 및 하부전극에 소정의 고주파전력을 인가하는 고주파전력 인가수단,
    상기 플라즈마 생성공간의 주위를 둘러 싸도록 소정의 주(周: turn)수만큼 감겨 소정의 고주파전력을 인가가능한 유도결합형 안테나,
    상기 안테나와 상기 플라즈마 생성공간 사이에 배치된 절연벽 및,
    상기 상부전극의 처리실측 주변테두리부와 상기 안테나의 처리실측 면을 덮는 교환가능한 절연부재를 구비하고,
    상기 안테나의 플라즈마 생성공간 이외의 측이 그라운드로 차폐되는 것을 특징으로 하는 플라즈마 처리장치.
  2. 제1항에 있어서, 상기 안테나의 소정의 주수가 1인 것을 특징으로 하는 플라즈마 처리장치.
  3. 제2항에 있어서, 상기 안테나의 그라운드측 경로에는 콘덴서가 설치되어 있고, 상기 안테나의 중점에 있어서 직렬공진이 생기도록 상기 콘덴서의 캐패시턴스가 조정되는 것을 특징으로 하는 플라즈마 처리장치.
  4. 제1항에 있어서, 상기 안테나는 상기 절연벽을 매개해서 상기 처리실의 벽부분에 내설(內設)됨과 더불어, 상기 안테나는 대기압분위기에 쐬이는 것을 특징으로 하는 플라즈마 처리장치.
  5. 제1항에 있어서, 상기 상부전극에 인가되는 고주파전력의 자기바이어스전압을 검출하는 검출기와, 이 검출기에 의해 검출된 자기바이어스전압이 설정범위내에 유지되도록 제어하는 제어수단을 더 구비한 것을 특징으로 하는 플라즈마 처리장치.
  6. 플라즈마 생성공간에 배치되는 피처리체에 대해 플라즈마처리를 실시하는 플라즈마 처리장치에 있어서,
    기밀한 처리실내에 배치된 상부전극과,
    상기 상부전극과 대향하여 배치되어 상기 피처리체를 탑재하는 하부전극 및,
    고주파전원으로부터 상기 상부전극으로 고주파전력을 공급하는 고주파전력 공급수단을 구비하고,
    상기 고주파전력 공급수단은, 제1직경치수를 갖는 거의 원형 단면의 급전부와, 제2직경치수를 갖는 거의 원형 단면의 상기 상부전극에 고주파전력을 인가하는 컨넥터부 및, 그 거의 수직방향의 단면이 상기 급전부로부터 상기 컨넥터부 방향의 단위길이당의 임피던스의 변화량의 최대치가 최소로 되도록 연장되는 급전라인을 갖춘 것을 특징으로 하는 플라즈마 처리장치.
  7. 기밀한 처리실내에 배치된 상부전극과,
    상기 상부전극과 대향하여 배치되어 피처리체를 탑재하는 하부전극 및,
    고주파전원으로부터 상기 상부전극으로 고주파전력을 공급하는 고주파전력 공급수단을 구비하고,
    상기 고주파전력 공급수단은, 상기 처리실의 상부 거의 중앙부에 그 단부가 지지되는 급전봉과,
    상기 급전봉으로부터 상기 상부전극으로 고주파전력을 공급하기 위한 급전부재를 갖추며,
    상기 급전부재의 외형은 상기 급전부재의 중심축으로부터의 직경이 상기 급전부재의 축방향의 거리의 지수함수로 표시되도록 형성되는 것을 특징으로 하는 플라즈마 처리장치.
KR1019970066280A 1996-12-05 1997-12-05 플라즈마처리장치 KR100455091B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP8340614A JPH10172792A (ja) 1996-12-05 1996-12-05 プラズマ処理装置
JP96-340614 1996-12-05

Publications (2)

Publication Number Publication Date
KR19980063837A KR19980063837A (ko) 1998-10-07
KR100455091B1 true KR100455091B1 (ko) 2005-05-13

Family

ID=18338668

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970066280A KR100455091B1 (ko) 1996-12-05 1997-12-05 플라즈마처리장치

Country Status (4)

Country Link
US (1) US6072147A (ko)
JP (1) JPH10172792A (ko)
KR (1) KR100455091B1 (ko)
TW (1) TW353195B (ko)

Families Citing this family (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4014300B2 (ja) * 1998-06-19 2007-11-28 東京エレクトロン株式会社 プラズマ処理装置
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
JP3818561B2 (ja) * 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
KR100596822B1 (ko) * 1999-03-30 2006-07-03 동경 엘렉트론 주식회사 플라즈마 처리 장치, 그 보수 방법 및 그 시공 방법
KR100748798B1 (ko) 1999-05-06 2007-08-13 동경 엘렉트론 주식회사 플라즈마 에칭 장치
US6262538B1 (en) * 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
US6622650B2 (en) * 1999-11-30 2003-09-23 Tokyo Electron Limited Plasma processing apparatus
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6917419B2 (en) 2000-09-20 2005-07-12 Kla-Tencor Technologies Corp. Methods and systems for determining flatness, a presence of defects, and a thin film characteristic of a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
JP4889144B2 (ja) * 2000-10-31 2012-03-07 アプライド マテリアルズ インコーポレイテッド 成膜方法
KR100464902B1 (ko) * 2001-02-12 2005-01-05 (주)에스이 플라즈마 대기압에서 저온 플라즈마를 발생시키는 장치
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
JP3964198B2 (ja) * 2001-12-21 2007-08-22 東京エレクトロン株式会社 プラズマ処理装置及びプロセス処理システム
JP4132016B2 (ja) * 2001-12-25 2008-08-13 松下電器産業株式会社 整合回路およびプラズマ処理装置
JP2003224077A (ja) * 2002-01-30 2003-08-08 Tokyo Electron Ltd プラズマ処理装置、電極部材、バッフル板の製造方法、処理装置、および、表面処理方法
JP4214114B2 (ja) * 2002-09-10 2009-01-28 東京エレクトロン株式会社 処理装置,および,処理装置のメンテナンス方法
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP4584565B2 (ja) * 2002-11-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4482308B2 (ja) * 2002-11-26 2010-06-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US7075771B2 (en) * 2003-05-21 2006-07-11 Tokyo Electron Limited Apparatus and methods for compensating plasma sheath non-uniformities at the substrate in a plasma processing system
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7365321B2 (en) * 2004-03-22 2008-04-29 Kla-Tencor Technologies Corp. Methods and systems for measuring a characteristic of a substrate or preparing a substrate for analysis
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US7148073B1 (en) 2005-03-15 2006-12-12 Kla-Tencor Technologies Corp. Methods and systems for preparing a copper containing substrate for analysis
JP2006278219A (ja) * 2005-03-30 2006-10-12 Utec:Kk Icp回路、プラズマ処理装置及びプラズマ処理方法
JP4909523B2 (ja) * 2005-03-30 2012-04-04 株式会社ユーテック スパッタリング装置及びスパッタリング方法
JP4672597B2 (ja) * 2005-06-02 2011-04-20 日本碍子株式会社 基板処理装置
US7394067B1 (en) 2005-07-20 2008-07-01 Kla-Tencor Technologies Corp. Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems
JP4304280B2 (ja) * 2005-12-09 2009-07-29 国立大学法人名古屋大学 プラズマ生成装置およびプラズマ処理製造方法
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
KR100888807B1 (ko) 2007-05-23 2009-03-13 (주)제이하라 플라즈마 발생장치
JP5286733B2 (ja) * 2007-10-03 2013-09-11 富士電機株式会社 プラズマ処理装置
US9078336B2 (en) 2008-03-05 2015-07-07 Emd Corporation Radio-frequency antenna unit and plasma processing apparatus
JP5072894B2 (ja) * 2009-03-26 2012-11-14 三菱重工業株式会社 真空処理装置および放電電極支持方法
US8604697B2 (en) 2009-12-09 2013-12-10 Jehara Corporation Apparatus for generating plasma
KR101656762B1 (ko) * 2010-03-16 2016-09-12 가부시키가이샤 토호쿠 테크노 아치 플라즈마 프로세스에 의한 가공 형상의 예측 시스템, 방법 및 프로그램을 기록한 기록 매체
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8264153B2 (en) 2010-08-09 2012-09-11 Jehara Corporation Plasma source for large size substrate
US8425719B2 (en) 2010-08-09 2013-04-23 Jehara Corporation Plasma generating apparatus
CN103202105B (zh) * 2010-09-10 2015-11-25 Emd株式会社 等离子处理装置
JP5916044B2 (ja) * 2010-09-28 2016-05-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9398680B2 (en) * 2010-12-03 2016-07-19 Lam Research Corporation Immersible plasma coil assembly and method for operating the same
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN103094166B (zh) * 2011-10-31 2015-04-15 北京北方微电子基地设备工艺研究中心有限责任公司 晶圆承载装置及具有它的半导体处理设备
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
KR20140089457A (ko) * 2013-01-04 2014-07-15 피에스케이 주식회사 플라즈마 발생 장치, 플라즈마 발생 장치를 제어하는 방법 그리고 플라즈마 발생 장치를 사용하는 기판 처리 장치
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
WO2015094596A1 (en) * 2013-12-17 2015-06-25 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
JP6591735B2 (ja) * 2014-08-05 2019-10-16 株式会社Fuji プラズマ発生装置
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
WO2018081144A1 (en) 2016-10-24 2018-05-03 Kla-Tencor Corporation Process module(s) integrated into a metrology and/or inspection tool
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7105180B2 (ja) * 2018-12-06 2022-07-22 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11564292B2 (en) * 2019-09-27 2023-01-24 Applied Materials, Inc. Monolithic modular microwave source with integrated temperature control
JP7412268B2 (ja) * 2020-05-11 2024-01-12 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2022117669A (ja) * 2021-02-01 2022-08-12 東京エレクトロン株式会社 フィルタ回路及びプラズマ処理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR920014373A (ko) * 1990-12-03 1992-07-30 제임스 조렙 드롱 Vhf/uhf 공진 안테나 공급원을 사용하는 플라즈마 반응기 및 플라즈마를 발생시키는 방법
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JPH07211490A (ja) * 1993-12-17 1995-08-11 Lsi Logic Corp 低圧誘導結合プラズマ点火装置
JPH0888220A (ja) * 1994-06-23 1996-04-02 Applied Materials Inc プラズマ促進材料処理用の誘導結合型高密度プラズマリアクタ
KR970058391A (ko) * 1995-12-15 1997-07-31 가나이 츠토무 플라즈마 처리장치

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS61133630A (ja) * 1984-12-03 1986-06-20 Matsushita Electric Ind Co Ltd 半導体基板上のSiO↓2膜のドライエツチング方法
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
JP3154128B2 (ja) * 1991-05-24 2001-04-09 ソニー株式会社 ドライエッチング方法
US5565114A (en) * 1993-03-04 1996-10-15 Tokyo Electron Limited Method and device for detecting the end point of plasma process
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
JP3172758B2 (ja) * 1993-11-20 2001-06-04 東京エレクトロン株式会社 プラズマエッチング方法
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5763851A (en) * 1995-11-27 1998-06-09 Applied Materials, Inc. Slotted RF coil shield for plasma deposition system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR920014373A (ko) * 1990-12-03 1992-07-30 제임스 조렙 드롱 Vhf/uhf 공진 안테나 공급원을 사용하는 플라즈마 반응기 및 플라즈마를 발생시키는 방법
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JPH07211490A (ja) * 1993-12-17 1995-08-11 Lsi Logic Corp 低圧誘導結合プラズマ点火装置
JPH0888220A (ja) * 1994-06-23 1996-04-02 Applied Materials Inc プラズマ促進材料処理用の誘導結合型高密度プラズマリアクタ
KR970058391A (ko) * 1995-12-15 1997-07-31 가나이 츠토무 플라즈마 처리장치

Also Published As

Publication number Publication date
TW353195B (en) 1999-02-21
KR19980063837A (ko) 1998-10-07
JPH10172792A (ja) 1998-06-26
US6072147A (en) 2000-06-06

Similar Documents

Publication Publication Date Title
KR100455091B1 (ko) 플라즈마처리장치
KR0159178B1 (ko) 플라즈마처리 장치와 플라즈마처리 방법
KR100557273B1 (ko) 플라즈마에 튜닝되는 샤워헤드 rf 전극을 갖는 아킹 억제된 merie 플라즈마 반응기
TWI771541B (zh) 具有低頻射頻功率分佈調節功能的等離子反應器
KR101800649B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR100274757B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
US6887340B2 (en) Etch rate uniformity
KR100903535B1 (ko) 아킹 억제된 플라즈마에 튜닝되는 오버헤드 rf 전극을갖는 플라즈마 반응기
JP6442463B2 (ja) 環状のバッフル
TW201907760A (zh) 具有低頻射頻功率分佈調節功能的電漿反應器
KR20040038990A (ko) 독립적인 플라즈마 밀도/화학 및 이온에너지 제어를 갖춘이중 주파수 플라즈마 에칭 반응기
JP2016506592A (ja) 均一なプラズマ密度を有する容量結合プラズマ装置
US20070227666A1 (en) Plasma processing apparatus
US5846331A (en) Plasma processing apparatus
KR102218686B1 (ko) 플라스마 처리 장치
CN111095498B (zh) 载置台、基板处理装置以及边缘环
US20160372306A1 (en) Method for Controlling Plasma Uniformity in Plasma Processing Systems
KR20210110192A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR20180054495A (ko) 이중 주파수 표면파 플라즈마 소스
JP4467667B2 (ja) プラズマ処理装置
TW202004831A (zh) 電漿處理裝置
JP4074168B2 (ja) プラズマ処理装置
JP7329131B2 (ja) プラズマ処理装置およびプラズマ処理方法
CN113496862A (zh) 等离子体反应器及其射频功率分布调节方法
JPH0878346A (ja) プラズマ成膜装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121002

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20131001

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20141007

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20150917

Year of fee payment: 12