KR920014373A - Vhf/uhf 공진 안테나 공급원을 사용하는 플라즈마 반응기 및 플라즈마를 발생시키는 방법 - Google Patents

Vhf/uhf 공진 안테나 공급원을 사용하는 플라즈마 반응기 및 플라즈마를 발생시키는 방법 Download PDF

Info

Publication number
KR920014373A
KR920014373A KR1019910022018A KR910022018A KR920014373A KR 920014373 A KR920014373 A KR 920014373A KR 1019910022018 A KR1019910022018 A KR 1019910022018A KR 910022018 A KR910022018 A KR 910022018A KR 920014373 A KR920014373 A KR 920014373A
Authority
KR
South Korea
Prior art keywords
antenna
watts
gas
power
chamber
Prior art date
Application number
KR1019910022018A
Other languages
English (en)
Inventor
에스. 콜린스 케네쓰
Original Assignee
제임스 조렙 드롱
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 제임스 조렙 드롱, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 제임스 조렙 드롱
Publication of KR920014373A publication Critical patent/KR920014373A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32293Microwave generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate

Abstract

내용 없음

Description

VHF/UHF 공진 안테나 공급원을 사용하는 플라즈마 반응기 및 플라즈마를 발생시키는 방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명에 따른 RF반응기 시스템의 개략도, 제2도는 바람직한 안테나 장치의 개략도, 제3도는 지면실드, 안테나, 및 다른 구성품들 사이의 공간 관계의 개략도.

Claims (131)

  1. (a) 진공챔버, (b) 반도체 웨이퍼와 같은 부품을 지지하기 위해 상기 진공챔버내에 설치되는 수단, (c) 처리가스를 상기 챔버에 공급하기 위한 수단, 및 (d) 상기 챔버내에 플라즈마를 발생시키기 위해 타원형으로 분극화된 고주파 전자기 에너지를 커플링하기 위한 수단을 포함함을 특징으로 하는 RF 플라즈마 처리 시스템.
  2. 제1항에 있어서, 상기 커플링 수단은 단일전환, 페루우프 공진 안테나임을 특징으로 하는 시스템.
  3. 제1항에 있어서, 상기 커플링 수단은 단일전환, 페루우프 공진 안테나이고, 상기 시스템이 상기 고주파 전자기 에너지의 전기장 부품이 상기 챔에 직접 커플링되는 것을 막기위해 상기 챔버와 안테나사이에 전도성 실드를 포함함을 특징으로 하는 시스템.
  4. 제1항에 있어서, 상기 커플링 수단은 다중전환, 페루우프 공진 안테나이고, 상기 시스템이 상기 고주파 전자기 에너지의 전기장 부품이 상기 챔버에 직접 커플링 되는 것을 막기 위해 챔버와 안테나 사이에 전도성 실드를 포함함을 특징으로 하는 시스템.
  5. 제4항에 있어서, 고주파 전력을 상기 안테나에 공급하기 위한 공급수단과, 상기 안테나의 주파수를 공진에 및 상기 안테나의 입력 임피던스를 상기 전력 공급수단의 임피던스에 자동적으로 반복하여 조절할 수 있는 제어수단을 포함함을 특징으로 하는 시스템.
  6. 제2항에 있어서, 상기 안테나의 실제 길이는 거의 n λ/4인데, 여기서 n은 작은 홀수의 정수이고, λ는 전자기여자 주파수의 파장임을 특징으로 하는 시스템.
  7. 제2항에 있어서, 상기 안테나의 실제 길이는 거의 n λ/4인데, 여기서 n은 작은 짝수의 정수이고, λ는 전자기 여자 주파수의 파장임을 특징으로 하는 시스템.
  8. 제2항에 있어서, 상기 안테나의 실제 길이는 거의 n λ/4인데, 여기서 λ는 전자기 여자 주파수의 파장임을 특징으로 하는 시스템.
  9. 제2항에 있어서, 상기 외장전압을 조절하기 위해 전압을 웨이퍼 지지수단에 가하기 위한 수단을 포함함을 특징으로 하는 시스템.
  10. 제9항에 있어서, 일정한 DC바이어스를 유지하기 위해 웨이퍼 지지 전극에서의 전력을 자동적으로 변화시키기 위한 수단을 포함함을 특징으로 하는 시스템.
  11. 제1항에 있어서, 상기 웨이프에 대한 플라즈마의 위치 및 이송을 조절하기 위해 균일하게 분기하는, 자기 거울장 배열로 부터 선택한 안테나 평면에 직각인 정전 자기장을 가하기 위한 수단을 포함함을 특징으로 하는 시스템.
  12. 제1항에 있어서, 상기 웨이프 지지 전극이 상기 전극사에 웨이퍼를 지지하기 위한 표면을 포함하고, 챔버벽 내부주위에 대한 비교적 높은 밀도장 영역과 웨이퍼 지지표면에 비교적 낮은 밀도장 영역을 제공하기 위해 하부 챔버 영역에 다극 커스프장을 가하기 위한 수단을 더 포함함을 특징으로 하는 시스템.
  13. 제11항에 있어서, 상기 웨이퍼 지지표면을 따라 비교적 낮은 밀도의 장 영역을 제공하기 위해 웨이퍼 지지전극으로 부터 정전 자기장을 전환하기 위해 지지전극에 인접해 있는 분로수단을 포함함을 특징으로 하는 시스템.
  14. 제1항에 있어서, 상기 고주파 에너지가 자유공간으로 방사하는 것을 막기 위해 챔버외부의 안테나 둘러싸는 고주파수 반응기를 포함함을 특징으로 하는 시스템.
  15. 제1항에 있어서, 상기 챔버는 원통형 유전도움을 포함하고, 상기 커플링 수단은 상기 도움을 둘러싸는 페루우프 안테나이고, 전자기 에너지의 전기장 부품을 챔버에 직접 커플링을 되는 것을 막기 위한 상기 도움과 안테나 사이의 전도성 실드를 더 포함함을 특징으로 하는 시스템.
  16. 제1항에 있어서, 상기 챔버는 원통형 유전도움을 포함하고, 커플링 수단은 상기 도움을 둘러싸는 페루우프 안테나이고, 상기 도움내 링 안테나의 평면에 집중된 플라즈마를 발생하고 플라즈마 하류가 웨이퍼 지지전극에 뻗도록 하기 위해, 전자기 에너지의 전기장 부품이 챔버에 직접 커플링되는 것을 막기 위해 도움과 안테나 사이의 전도성 실드를 더 포함함을 특징으로 하는 시스템.
  17. 제1항에 있어서, 상기 커플링 수단은 상기 도움을 둘러싸는 페루우프 안테나이고 상기 웨이퍼 지지전극은 안테나의 평면에 인접하게 위치되고, 상기 링 안테나의 평면에 집중된 플라즈마를 발생하고 웨이퍼 지지전극 영역을 상기 플라즈마를 침지하기 위해서, 전자기 에너지의 전기장 부품이 챔버에 직접 커플링되는 것을 막기 위해 챔버와 안테나 사이의 전도성 실드를 더 포함함을 특징으로 하는 시스템.
  18. 제17항에 있어서, 캐소드 외장전압을 조절하기 위해 전압을 상기 웨이퍼 지지수단에 가하기 위한 수단을 포함함을 특징으로 하는 시스템.
  19. 제2항에 있어서, 상기 챔버가 상기 챔버의 한 측면에 유전창을 포함하고, 상기 안테나가 상기 챔버 외부에 있는 창 브근에 장착함을 특징으로 하는 시스템.
  20. 제2항에 있어서, 상기 안테나가 상기 챔버내에 위치함을 특징으로 하는 시스템.
  21. 제2항에 있어서, 상기 고주파수 에너지 안테나의 임피던스를 고주파수 공급원에 매칭시키기 위해 하나의 유도성, 용량성, 전도성 임피던스를 통해 안테나에 커플링됨을 특징으로 하는 시스템.
  22. 제2항에 있어서, 상기 고주파수 에너지 안테나의 임피던스를 고주파수 공급원의 임피던스를 매칭하기 위해 가변성 커패시터를 통해 안테나에 커플링됨을 특징으로 하는 시스템.
  23. 제2항에 있어서, 상기 안테나를 공진에 동조하기 위해 고정되고 분배된, 유도성 및 용량성 임피던스로 부터 선택한 안테나에 연결된 수단을 포함함을 특징으로 하는 시스템.
  24. 제2항에 있어서, 상기 안테나를 공진에 동조하기 위해 안테나에 연결된 가변성 커패시터를 포함함을 특징으로 하는 시스템.
  25. 제2항에 있어서, 상기 안테나의 길이가 거의/2이고,는 플라즈마 여자 주파수에서 고주파수 RF에너지의 파장이며, 상기 안테나를 공진에 동조하기 위해 안테나상의/4 포인트 부근에 연결된 가변성 커패시터를 더 포함함을 특징으로 하는 시스템.
  26. 제1항에 있어서, 상기 플라즈마로 부터 충전된 이온 또는 전자의 흐름을 빼기 위한 바이어스 그리드(grid)더 포함함을 특징으로 하는 시스템.
  27. 제26항에 있어서, 자유 라디칼과 여자된 중성자의 흐름을 빼내기 위해 추출 그리드로 부터 거리간격을 갖는 중화 그리드를 포함함을 특징으로 하는 시스템.
  28. (a) 하우징내에 플라즈마 챔버를 형성하는 유전 도움을 갖는 상기 하우징, (b) 반도체 웨이퍼를 지지하기 위해 상기 플라즈마 챔버내에 위치하는 전극수단, (c) 반응가스를 상기 플라즈마 챔버에 공급하기 위해 상기 하우징 내에 위치하는 가스 흡입 매니폴드, (d) 상기 플라즈마 챔버내를 진공으로 유지하기 위해 상기 챔버와 연통하는 진공 펌핑 수단, 및 (e) 고주파수 전자기 에너지의 정기장 부품을 상기 플라즈마 챔버로 부터 직접 연합분로하기 위해 도움과 안테나 사이의 끼워지는 전도성 실드와 상기 도움을 둘러싸고, 페루우프 전기장을 유도하기 위해 상기 고주파수 전기장 에너지의 자기 부품을 상기 플라즈마 챔버에 커플링되는 페루우프 안테라를 갖는 고주파수 에너지 공급원을 포함함을 특징으로 하는 플라즈마 처리 반응기.
  29. 제28항에 있어서, 상기 고주파수 에너지 공급원이 50 내지 800MHZ범위내의 주파수를 가짐을 특징으로 하는 반응기.
  30. 제1항에 있어서, 상기 캐소드 외장전압을 조절하기 위해 선택된 주파수의 AC에너지를 상기 웨이퍼 지지 전극에 가하기 위한 수단을 포함함을 특징으로 하는 시스템.
  31. 제28항에 있어서, (a) 외부공급원으로 부터 선택된 주파수의 AC에너지를 플라즈마 챔버에 가하기 위해 적용되고 전극수단을 갖는 통합 전송선호구조, (b) 상기 전극수단을 둘러싸는 외부 컨덕터, 및 (c) 상기 전송선로 구조가 캐소 외장전압을 중가시키기 위해 상기 전극수단을 따라 커플링 되도록 하는, 상기 전극수단과 외부 콘덕터 사이의 절연체를 포함함을 특징으로 하는 시스템.
  32. 플라즈마를 형성하기 위한 방법에 있어서, 선택된 재료를 제작하기 위해 가스로 부터 플라즈마를 발생시키기 위해 타원형으로 분극화된 고주파수 전자기 에너지를 진공챔버에 가하면서 상기 챔버에 상기 가스을 공급하는 수단을 포함함을 특징으로 하는 방법.
  33. 플라즈마를 발생시키기 위한 방법에 있어서, (a) 진공 챔버내에서 전극상에 물품을 지지시키는 단계, (b) 가스를 상기 진공쳄버에 공급하는 단계, (c) 상기 챔버를 인접한 페루우프 안테라를 사용하여 고주파수 전자기 에너지를 발생시키는 단계, 및 (d) 상기 전자기 에너지를 상기 쳄버에 커플링함으로써 상기 물품상에 하나 또는 그 이상의 재료를 제작하기 위해 플라즈마 발생시키는 단계를 포함함을 특징으로 하는 방법.
  34. 제33항에 있어서, 상기 전자기 에너지의 전기장 부품이 상기 챔버로 부터 직접 실드되고, 상기 전자기 에너지의 자기 부품이 플라즈마를 발생시키기 위해 상기 챔버로 커플링됨을 특징으로 하는 방법.
  35. 제33항에 있어서, 상기 전자기 에너지의 자기 부품이, 플라즈마를 발생시키도록 타원형 전기장을 유조하기 위해 상기 챔버내에로 커플링됨을 특징으로 하는 방법.
  36. 제35항에 있어서, 상기 커플링된 부품이 원형 전기장을 유도함을 특징으로 하는 방법.
  37. 제33항에 있어서, 캐소드 외장 전압을 조절하기 위해 선택된 주파수의 AC에너지를 상기 전극에 가하는 단계를 포함함을 특징으로 하는 방법.
  38. 제34항에 있어서, 캐소드 외장 전압을 조절하기 위해 선택된 주파수의 AC에너지를 상기 전극에 가하는 단계를 포함함을 특징으로 하는 방법.
  39. 제37항에 있어서, 캐소드 외장 전압을 조절하기 위해 선택된 주파수의 AC에너지를 상기 전극에 가하는 단계를 포함함을 특징으로 하는 방법.
  40. 제37항에 있어서, 선택된 캐소드 외장전압을 유지하기 위해 상기 전극에 전달된 상기 전력을 변화시키는 단계를 포함함을 특징으로 하는 방법.
  41. 제37항에 있어서, 고이온 플럭스가 캐소드 외장전압을 무관하게 저 이온 에너지에서 생기고, 이온 플러스 밀도에 무관하게 이온을 지향하고 이온 에너지를 조절하기 위해, 상기 안테나에 전달된 상기 전력이 이온 플럭스 밀도를 형성하고 상기 전극에 전달된 상기 전력이 캐소드 외장전압을 형성함을 특징으로 하는 방법.
  42. 제33항에 있어서, 상기 가스가 에칭가스를 포함하고, 상기 플라즈마가 에칭종을 만듬을 특징으로 하는 방법.
  43. 제33항에 있어서, 상기 가스가 증착가스이고, 상기 플라즈마가 증착종을 만듬을 특징으로 하는 방법.
  44. 제42항에 있어서, 비등방성, 반비등방성 및 등방성 에칭을 선택적으로 효과적으로 행하기 위해 상기 전극에 전달된 바이어스 전력과 상기 안테나 전력을 조절하는 단계를 포함함을 특징으로 하는 방법.
  45. 제43항에 있어서, 비등방성, 반비등방성 및 등방성 증착을 선택적으로 효과적으로 행하기 위해 상기 전극에 전달된 바이어스 전력과 상기 안테나 전력을 조절하는 단계를 포함함을 특징으로 하는 방법.
  46. 플라즈마를 발생시키기 위한 방법에 있어서, (a) 진공챔버내 전극상에 반도체 웨이퍼와 같은 물품을 지지시키는 단계, (b) 가스를 상기 진공챔버에 공급하는 단계, (c)상기 챔버에 인접한 페루우프 안테나를 사용하여 고주파수 전자기 에너지를 발생시키는 단계, 및 (d) 상기 반도체상에 하나 또는 그 이상의 재료를 제작하기 위해 상기 가스로 부터 플라즈마가 발생되도록 상기 전자기 에너지의 자기부품을 상기 챔버내에로 커플링시키는 단계를 포함함을 특징으로 하는 방법.
  47. 제46항에 있어서, 제작단계가 하나 또는 그 이상의 재료를 에칭시키는 단계를 포함함을 특징으로 하는 방법.
  48. 제46항에 있어서, 제작단계가 하나 또는 그 이상의 재료를 증착시키는 단계를 포함함을 특징으로 하는 방법.
  49. 제46항에 있어서, 제작단계가 하나 또는 그 이상의 재료를 개별적으로 또는 동시에 증착시키고 에칭시키는 단계를 포함함을 특징으로 하는 방법.
  50. 제46항에 있어서, 상기 웨이퍼 외장 전압을 조절하기 위해 바이어스 에너지를 상기 웨이퍼 지지전극에 가하는 단계를 포함함을 특징으로 하는 방법.
  51. 제50항에 있어서, 제작단계가 하나 또는 그 이상의 재료를 에칭시키는 단계를 포함함을 특징으로 하는 방법.
  52. 제50항에 있어서, 제작단계가 하나 또는 그 이상의 재료를 증착시키는 단계를 포함함을 특징으로 하는 방법.
  53. 제50항에 있어서, 제작단계가 하나 또는 그 이상의 재료를 개별적으로 또는 동시에 증착시키고 에칭시키는 단계를 포함함을 특징으로 하는 방법.
  54. 제47항에 있어서, 상기 재료가 산화물임을 특징으로 하는 방법.
  55. 제46항에 있어서, 고주파수 에너지를 상기 안테나에 가함으로써 산화물층을 에칭시키는 단계를 포함함을 특징으로 하는 방법.
  56. 제46항에 있어서, 고주파수 에너지를 상기 안테나에 가함으로써 산화물층을 등방형으로 에칭시키는 단계를 포함함을 특징으로 하는 방법.
  57. 제50항에 있어서, 비교적 높은 주파수 전력은 상기 안테나에 그리고 비교적 낮은 주파수 전력은 상기 웨이프 지지전극에 가함으로써 산화물 층을 에칭시키는 단계를 포함함을 특징으로 하는 방법.
  58. 제24항에 있어서, 비교적 높은 주파수 전력은 상기 안테나에 그리고 비교적 낮은 주파수 전력은 상기 웨이프 지지전극에 비등방형으로 가함으로써 산화물 층을 비등방형으로 에칭시키는 단계를 포함함을 특징으로 하는 방법.
  59. 제51항에 있어서, 상기 에칭된 재료는 50 내지 800MHZ에서 300 내지 5000와트의 안테나 전력, 100내지 1000와트의 바이어스 전력, 하나 또는 그 이상의 불소-, 탄소- 및 수소- 함유가스를 포함하는 화합물과, 불소-, 탄소-, 및 수소- 함유가스 및 불활성가스를 포함하는 화합물로 부터 선택한 가스화학성분, 및 약 500 밀리토르보다 작은 압력을 가하는 단계에 의해서 폴리상에 형성된 산화물임을 특징으로 하는 방법.
  60. 제51항에 있어서, 상기 에칭된 재료는 50 내지 400MHZ에서 500 내지 2500와트의 안테나 전력, 200내지 1000와트의 바이어스 전력, 하나 또는 그 이상의 불소-, 탄소- 및 수소- 함유가스를 포함하는 화합물과, 하나 또는 그이상의 불소-, 탄소-, 및 수소- 함유가스 및 불활성가스를 포함하는 화합물로 부터 선택한 가스화학성분, 및 약 1 내지 100 밀리토르의 범위내의 압력을 가하는 단계에 의해서 폴리상에 형성된 산화물임을 특징으로 하는 방법.
  61. 제1항에 있어서, 상기 에칭된 재료는 50 내지 250MHZ에서 800 내지 2000와트의 안테나 전력, 400내지 800와트의 바이어스 전력, CHF3, CF4, C2F6, C4F8, CHF3, CH3F, CH4, 수소, 산소 및 불활성가스로 부터 선택한 하나 또는 그 이상의 가스를 포함하는 가스화학성분, 및 약 2500 내지 10,000A/분에서 산소를 에칭하기 위해 약 5 내지 50 밀리토르의 범위내의 압력을 가하는 단계에 의해서 폴리상에 형성된 산화물임을 특징으로 하는 방법.
  62. 제51항에 있어서, 상기 재료는 폴리실리콘 위의 산화물이고, 상기 가스화학성분은 높은 산화물/폴리실리콘 선택성으로 상기 산화물을 에칭하기 위해 CHF와 아르곤을 포함함을 특징으로 하는 방법.
  63. 제51항에 있어서, 상기 재료는 1킬로와트의 전력, 상기 안테나에 가해진 200NHZ, 상기 웨이퍼 지지전극에 가해진 약 600와트의 비교적 낮은 주파수 바이어스 전력, 및 10-30 밀리토르의 챔버 압력, 이것에 의해 20/1의 산화물/폴리 선택성으로 약 500-600Å/분의 비율에서 상기 산화물에 에칭하는 단계에 의한, 폴리실리콘위의 산화물임을 특징으로 하는 방법.
  64. 제51항에 있어서, 상기 에칭된 재료는 알루미늄위의 산화물이고 가스화학성분은 상기 알루미늄을 스퍼터링 하지 않으면서 상기 산화물을 에칭하기 위해 CHF3/CH4를 포함함을 특징으로 하는 방법.
  65. 제51항에 있어서, 상기 에칭된 재료는 50 내지 800MHZ에서 300 내지 5000와트의 안테나 전력, 100 내지 1000와트의 바이어스 전력, 불소 함유가스와 적어도 하나의 수소- 및 탄소- 함유가스와 불활성가스를 포함한 가스화학성분, 및 약 500 밀리토르보다 작은 압력을 가하는 단계에 의한, 알루미늄위의 산화물임을 특징으로 하는 방법.
  66. 제51항에 있어서, 상기 에칭된 재료는 50 내지 400MHZ에서 300 내지 2500와트의 안테나 전력, 100 내지 500와트의 바이어스 전력, 불소 함유가스와 적어도 하나의 수소- 및 탄소- 함유가스와 불활성가스를 포함한 가스화학성분, 및 약 100 밀리토르보다 작은 압력을 가하는 단계에 의한, 알루미늄위의 산화물임을 특징으로 하는 방법.
  67. 제1항에 있어서, 상기 에칭된 재료는 50 내지 250MHZ에서 800 내지 2500와트의 안테나 전력, 100내지 300와트의 바이어스 전력, CHF3, CF4, C2F6, C4F8, CHF3, CH3F, CH4, 수소, 산소 및 불활성가스로 부터 선택한 하나 또는 그 이상의 가스를 포함하는 가스화학성분, 및 약 5 내지 50 밀리토르의 범위내의 압력을 가하는단계에 의한, 알루미늄위의 산화물임을 특징으로 하는 방법.
  68. 제1항에 있어서, 상기 재료가 알루미늄위의 산화물이고, 1.5킬로와트의 전력, 200MHZ가 상기 안테나에 가해지고, 약 200와트의 비교적 낮은 주파수 바이어스 전력이 상기 웨이퍼 지지전극에 가해지고, 상기 가스가 75/75/120sccm의 CHF3/CF4/아르곤을 포함하고, 상기 챔버 압력이 10-30 밀리토르이고, 이것에 의해 상기 알루미늄을 스피터링하지 않으면서 약 4000-5000Å/분의 비율로 상기 산화물을 에칭시킴을 특징으로 하는 방법.
  69. 제47항에 있어서, 상기 에칭된 재료는 100 내지 1000와트의 안테나 전력, 불소함유가스를 포함한 가스화학성분, 및 약 10 내지 200와트의 바이어스 전력을 가하는 단계에 의한 산화물임을 특징으로 하는 방법.
  70. 제51항에 있어서, 상기 재료는 50 내지 400와트의 바이어스 전력, CF4, C2F6,NF3및 SF6로 부터 선택한 가스화학성분 및 약 5 내지 100밀리토르의 범위내의 챔버압력을 가하는 단계에 의한 산화물임을 특징으로 하는 방법.
  71. 제47항에 있어서, 상기 재료는 50 내지 250 MHZ의 전력을 상기 안테나에 가하고, CF4, 및 NF3로 부터 선택한 적어도, 한 가소를 포함한 가스화학성분을 사용하는 단계에 의한 산화물임을 특징으로 하는 방법.
  72. 제51항에 있어서, 상기 재료는 산화물이고, 200MHZ에서 200-1000와트의 전력이 상기 안테나에 가해지고, 상기 가스가 30-120sccm의 CF4를 포함하고, 및 상기 챔버 압력은 10-50 밀리토르이며, 이것에 의해 10 내지 100볼트의 비교적 낮은 캐소드 외장 전압에서 약 100 내지 1000Å/분의 비율로 상기 산화물을 에칭시킴을 특징으로 하는 방법.
  73. 제51항에 있어서, 상기 에칭된 재료는, 50 내지 800MHZ에서 500 내지 5000와트의 안테나 전력, 0내지 500와트의 바이어스 전력, 불소함유 가스화학성분, 및 상기 산화물을 이방형으로 에칭하기 위해 약 50토르보다 더 작은 챔버압력을 가하는 단계에 의한 산화물임을 특징으로 하는 방법.
  74. 제51항에 있어서, 상기 에칭된 재료는, 50 내지 400MHZ에서 500 내지 2500와트의 안테나 전력, 0내지 300와트의 바이어스 전력, 불소함유 가스화학성분, 및 상기 산화물을 등방형으로 에칭하기 위해 약 0.5 내지 20 토르의 범위내의 챔버 압력을 가하는 단계에 의한, 산화물임을 특징으로 하는 방법.
  75. 제51항에 있어서, 상기 에칭된 재료는, 50 내지 250MHZ에서 500 내지 2500와트의 안테나 전력, 0내지 300와트의 바이어스 전력, CF4, C2F6,NF3및 FS6로 부터 선택한 하나 또는 그 이상의 가스를 포함하는 가스화학성분, 약 0.5 내지 5토르의 범위내의 챔버압력, 및 상기 산화물을 등방형으로 에칭하기 위해 약 125℃보다 낮은 웨이퍼 온도를 가하는 단계에 의한, 산화물임을 특징으로 하는 방법.
  76. 제51항에 있어서, 상기 재효는 산화물이고, 200MHZ에서 1-1.5킬로와트의 전력이 상기 안테나에 가해지고, 상기 가스가 500-2000sccm의 NF4,또는 CF4를 포함하고, 및 상기 챔버압력은 약 1토르이고, 웨이퍼 지지캐소드를 약 60내지 75℃로 유지하며, 이것에 의해 약 2500 내지 4500A/분의 비율로 상기 산화물을 등방형으로 에칭시키는 단계를 포함함을 특징으로 하는 방법.
  77. 제47항에 있어서, 상기 재료는 폴리실리콘임을 특징으로 하는 방법.
  78. 제51항에 있어서, 상기 에칭된 재료는 50 내지 800MHZ에서 200 내지 1500와트의 안테나 전력, 0내지 500와트의 바이어스 전력 할로겐함유 가스화학성분 및 상약 1 내지 500밀리토르 범위내의 압력을 가하는 단계에 의한 폴리실리콘임을 특징으로 하는 방법.
  79. 제51항에 있어서, 상기 에칭된 재료는 50 내지 400MHZ에서 300 내지 1000와트의 안테나 전력, 0 내지 300 와트의 바이어스 전력 할로겐 함유 가스화학성분 및 약 1내지 100밀리토르 범위내의 압력을 가하는 단계에 의한, 폴리실리콘임을 특징으로 하는 방법.
  80. 제51항에 있어서, 상기 에칭된 재료는 50 내지 250MHZ에서 300 내지 750와트의 안테나 전력, 0 내지 200와트의 바이어스 전력 염소, 수소, 브롬화물, 헬륨, 아르곤, 산소 및 육플루오르화 황으로 부터 선택한 적어도 하나의 가스를 함유한 가스화학성분 및 약 1 내지 100밀리토르 범위내의 압력을 가하는 단계에 의한, 폴리실리콘임을 특징으로 하는 방법.
  81. 제51항에 있어서, 상기 재료는 폴리실리콘이고, 0.5킬로와트의 전력, 200MHZ가 상기 안테나에 가해지고, 약 500와트의 비교적 낮은 주파수 전력을 상기 웨이퍼 지지전극에 가하고, 상기 가스가 80/100/(0-4)sccm의 DL2/He/O2를 포함하고, 및 상기 압력은 10-50 밀리토르이며, 이것에 의해 35/1의 폴리/산화물 선택성으로 약 300 내지 4000Å/분의 비율로 상기 폴리실리콘을 에칭시킴을 특징으로 하는 방법.
  82. 제47항에 있어서, 상기 에칭된 재료는 50 내지 800MHZ에서 300 내지 5000와트의 안테나 전력, 약 1000와트보다 머 작은 바이어스 전력, 산소, 불소함유가의 및 질소로 부터 선택한 적어도 하나의 가스를 포함한 가스 화학성분, 및 100 밀리토르 내지 50토르의 전력을 가하는 단계에 의한 포토레지스트임을 특징으로 하는 방법.
  83. 제47항에 있어서, 상기 에칭된 재료는 50 내지 400MHZ에서 300내지 2500와트의 안테나 전력, 약 1000와트보다 더 작은 바이어스 전력, 산소 및 산화질소로 부터 선택한 적어도 하나의 산소공급원 가스 및 질소, CF4, C2F6,NF3,SF6로 부터 선택한 적어도 하나의 가스를 포함하는 가스화학성분 및 500밀리토르 내지 10토르의 전력을 가하는 단계에 의한 포토레지스트임을 특징으로 하는 방법.
  84. 제47항에 있어서, 상기 에칭된 재료는 50 내지 250MHZ에서 300내지 2500와트의 안테나전력, 약 1000와트보다 더 작은 바이어스 전력, 산소 및 산화질소로 부터 선택한 500 내지 2000sccm의 산소함유가스와 약 5000sccm보다 적은 질소, CF4,및 NF4로 부터 선택한 적어도 하나의 가스를 포함한 가스화학성분 및 500밀리토르 내지 5토르의 압력을 가하는 단계에 의한 포토레지스트임을 특징으로 하는 방법.
  85. 제47항에 있어서, 상기 재료는 포토레지스트이고, 200MHZ에서 1-1.5킬로와트의 전력을 상기 안테나에 가하고, 상기 가스가 800-1000sccm의 O2, 0-200sccm의 N2및 0-2--sccm의 CF4를 함유하고, 상기 챔버압력을 약 1토르이고, 및 상기 웨이퍼 지지 캐소드는 약 100-200℃에서 유지되며, 이것에 의해 약 1-3㎛/분의 비율로 상기 포토레지스트를 에칭시킴을 특징으로 하는 방법.
  86. 제51항에 있어서, 포토레지스트를 에칭시키기 위해 50 내지 800MHZ에서 300 내지 2500와트의 안테나 전력, 약 500와트보다 더 작은 바이어스 전력, 산소와, 산소 및 불소함유가스로 부터 선택한 하나 또는 그 이상의 가스들 또는 가스화합물을 포함한 가스화학성분 및 상기 레지스트를 비등방형으로 에칭하기 위해 약 1내지 500 밀리토르 범위내의 챔버압력을 포함함을 특징으로 하는 방법.
  87. 제51항에 있어서, 포토레지스트를 에칭시키기 위해 50 내지 250MHZ에서 300 내지 1500와트의 안테나 전력, 산소 및 산화질소로 부터 선택한 하나 또는 그 이상의 산소함유 가스와 CF4, C2F6,NF3및 SF6로 부터 선택한 하나 또는 그 이상의 불소함유가스들을 포함한 가스화학성분 약 1 내지 100밀리토르 범위내의 챔버압력 및 상기 포토레지스트를 비등방형으로 에칭하기 위해 약 125℃보다 더 낮은 웨이퍼 온도를 포함함을 특징으로 하는 방법.
  88. 제87항에 있어서, 상기 산소함유가스에 대한 불소함유가스의 흐름비율은 (0-300)/(10-300)임을 특징으로 하는 방법.
  89. 제51항에 있어서, 상기 재료는 포토레지스트이고, 200MHZ에서 1킬로와트를 상기 안테나에 가하고, 0-200와트의 비교적 낮은 주파수 전력을 상기 웨이퍼 지지전극에 가하고, 상기 가스가 30-100sccm의 O2와 0-50sccm의 CF4를 포함하고, 상기 챔버 압력은 10-30밀토르로이고, 및 상기 웨이퍼 지지전극이 약 60℃에 유지되며, 이것에 의해 약 0.8-2㎛/분의 비율로 기 포토레지스트를 비등방형으로 에칭시킴을 특징으로 하는방법.
  90. 제48항에 있어서, 질화실리콘을 저압 플라즈마 증착시키기 위해서, 실리콘 함유가스와 질소함유가스를 포함한 가스화학성분과, 50밀리토르 보다 작은 압력을 사용함을 특징으로 하는 방법.
  91. 제52항에 있어서, 질화물을 저압 플라즈마 증착시키기 위해사 50 내지 800MHZ에서 300 내지 5000와트의 안테나 전력, 약 1000와트보다 작은 바이어스 전력, 실리콘함유 가스와 질소함유 가스를 포함한 가스화학성분, 및 약 500밀리토르보다 더 작은 챔버압력을 포함함을 특징으로 하는 방법.
  92. 제52항에 있어서, 질화실리콘을 저압 플리즈마 증착시키기 위해, 50 내지 250MHZ에서 500내지 2500와트의 상부 안테나 전력, 0-600와트의 하부 바이어스 전력, 30-300sccm의 0-50sccm의 N2및 100-1000sccm의 N2의 가스화학성분, 및 약 100-500℃의 웨이퍼 온도를 포함함을 특징으로 하는 방법.
  93. 제48항에 있어서, 가스화학성분을 사용하여 옥시질화실리콘을 저압 플라즈마 증착시키기 위해, 실리콘 함유가스, 질소함유가스 및 산소함유가스, 및 50 밀리토르 보다 더 작은 압력을 포함함을 특징으로 하는 방법.
  94. 제52항에 있어서, 옥시질화실리콘을 저압 플라즈마 증착시키기 위해 50 내지 250 MHZ에서 500 내지 2500와트의 안테나 전력, 0-600와트의 바이어스전력, 30-300sccm의 SiH4, 0-50sccm의 NH3100-1000sccm의 N2의 및 산소 및 산화질소로 부터 선택한 산소함유가스, 10-50 밀리토르의 압력, 및 약 200-400℃의 웨이퍼 온도를 포함함을 특징으로 하는 방법.
  95. 제52항에 있어서, 옥시질화실리콘을 저압 플라즈마 증착시키기 위해, 50 내지 250 MHZ에서 500 내지 2500와트의 안테나 전력, 0-600와트의 하부 바이어스전력, 30-200sccm의 SiH4, 0-50sccm의 NH3100-1000sccm의 N2의 및 산소 및 산화질소로 부터 선택한 산소함유가스, 100-1000sccm의 산소함유종을 포함한 가스화학성분, 1-100 밀리토르의 압력, 및 약 100-500℃의 웨이퍼 온도를 포함함을 특징으로 하는 방법.
  96. 제48항에 있어서, 이산화실리콘을 고압 등방성 컨포멸 증착시키기 위함을 특징으로 하는 방법.
  97. 제48항에 있어서, 산화실리콘을 고압 등방성 컨포멸 증착시키기 위해, 50 내지 800MHZ에서 300-5000와트의 안테나 전력, 실란과 TEOS로부터 선택한 30-100sccm의 실리콘함유 가스와 200-3000sccm의 N2O 500 밀리토르 내지 50 토르의 챔버 압력, 및 약 100-500℃의 웨이퍼 온도를 포함함을 특징으로하는 방법.
  98. 제97항에 있어서, 상기 안테나 전력은 50-250MHZ에서 300-2500와트이고 상기 전압은 1-10 토르임을 특징으로 하는 방법.
  99. 제48항에 있어서, 질화실리콘 또는 옥시질화실리콘을 고압 등방성 컨포멀 증착시키기 위해 50-800MHZ에서 300-5000와트의 안테나 전력 실란, 암모니아, 질화물 및 산화질소로 부터 선택한 가스들, 및 500 밀리토르 내지 50 토르의 챔버압력을 포함함을 특징으로 하는 방법.
  100. 제99항에 있어서, 질화실리콘은 50-400MHZ에서 300-2500와트의 안테나 전력, 실란, 암모니아 및 질소를 함유한 가스혼합물, 및 500밀리토르 내지 10토르의 압력을 사용함으로써 증착됨을 특징으로 하는 방법.
  101. 제100항에 있어서, 상기 안테나 전력은 50-250MHZ에서 500-1500와트이고, 바이어스 전력은 30-100sccm의 SiH4, 0-30sccm의 NH3및 400-5000sccm의 N2를 포함하고 및 상기 웨이퍼 온도는 약 100-500℃임을 특징으로 하는 방법.
  102. 제101항에 있어서, 챔버압력은 1-10토르이고, 웨이퍼 온도는 200-400℃임을 특징으로 하는 방법.
  103. 제99항에 있어서, 옥시질화실리콘을 50-400MHZ에서 300-2500와트의 안테나전력, 실란, 암모니아 및 산화질소를 포함한 가스혼합물, 및 500 밀리토르 내지 10토르의 챔버압력을 사용하여 증착시킴을 특징으로 하는 방법.
  104. 제103항에 있어서, 상기 안테나 전력은 50-250MHZ에서 0.5-1.5kW이고, 상기 바이어스전력은 0-300와트이고, 상기 가스 혼합물은 30-100sccm의 암모니아, 0-30sccm의 NH3, 100-2500sccm의 질소 및 100-2500sccm의 N2O를 포함하고, 상기 압력은 500밀리토르 내지 50토르이고, 및 상기 웨이퍼 온도는 약 100-500℃임을 특징으로하는 방법.
  105. 제104항에 있어서, 상기 압력은 1-10토르이고, 웨이퍼 온도는 200-400℃임을 특징으로 하는 방법.
  106. 제47항에 있어서, 상기 재료 알루미늄을 에칭시키기 위해 50 내지 800MHZ에서 300 내지 2500 와트의 안테나전력, 0 내지 600와트의 바이어스전력, 염소함유가스와 브롬함유가스로 부터 선택한 하나 또는 그 이상의 가스들을 포함한 가스화학성분 및 약 1 내지 300밀리토르 범위내의 챔버압력을 포함함을 특징으로 하는 방법.
  107. 제51항에 있어서, 상기 재료 알루미늄을 에칭시키기 위해 50 내지 400MHZ에서 500 내지 1500와트의 안테나 전력, 100 내지 400와트의 바이어스 전력, Cl1,BCl3,및 BBr3으로부터 선택한 하나 또는 그 이상의 가스들을 포함한 가스화학성분, 및 약 1밀리토르 내지 100밀리토르 범위내의 챔버압력을 포함함을 특징으로 하는 방법.
  108. 제47항에 있어서, 알루미늄을 에칭시키기 위해 하나 또는 그 이상의 염소함유가스 및 브롬함유가스로 부터 선택한 가스화학성분을 포함함을 특징으로 하는 방법.
  109. 제108항에 있어서, 상기 가스혼합물이 부가제 Br3, 삼브롬화붕소를 더 포함함을 특징으로 하는 방법.
  110. 제109항에 있어서, 50내지 250MHZ에서 600내지 800와트의 안테나전력, 100내지 200와트의 바이어스전력, (30-100)/(30-110)의 BCl3/Cl2흐름비율, 약 10 내지 50밀리토르 범위내의 챔버압력을 특징으로 하는 방법.
  111. 제47항에 있어서, 불소함유가스 화학성분을 사용하여 텅스턴을 에칭시키는 단계를 포함함을 특징으로 하는 방법.
  112. 제111항에 있어서, 200MHZ에서 200-500의 안테나 전력, 0-200sccm SF6, 0-200sccm NF3및 0-200sccm의 아르곤을 포함한 가스화학성분 및 10-100밀리토르의 챔버압력을 더 포함함을 특징으로 하는 방법.
  113. 제111항에 있어서, 5내지 800MHZ에서 100내지 2500와트의 안테나 전력을 포함함을 특징으로 하는 방법.
  114. 제111항에 있어서, 50내지 250MHZ에서 200내지 500와트의 안테나전력, 0-200sccm SF6를 포함한 가스화학성분 및 약 10내지 100밀리토르 범위내의 챔버압력을 포함함을 특징으로 하는 방법.
  115. 제47항에 있어서, 하나 또는 그 이상의 HBr, O2, He, SiF4로부터 선택한 가스화학성분을 사용하여 단결정 실리콘을 비등방형으로 에칭시킴을 특징으로 하는 방법.
  116. 제115항에 있어서, 50내지 800MHZ에서 100내지 2500와트의 안테나전력, 0내지 500와트의 바이어스전력, 및 약 1내지 500밀리토르 범위내의 챔버압력을 포함함을 특징으로 하는 방법.
  117. 제115항에 있어서, 50내지 250MHZ에서 300내지 700와트의 안테나전력, 50내지 200와트의 바이어스전력, HBr, NF, He 및 O2로부터 선택한 하나 또는 그 이상의 가스들을 포함한 가스화학성분 및 상기 실리콘을 비등방형으로 에칭하기 위해 약 10내지 50밀리토르 범위내의 챔버 압력을 포함함을 특징으로 하는 방법.
  118. 제115항에 있어서, 300-700와트의 안테나 전력, 약 100볼트의 캐소드 와장 전압을 제공하기 위한 바이어스 전력 50-150와트, 30-100sccm HBr, 0-20sccm NF3, 0-10sccm의 산소, 0-10sccm의 헬륨 및 0-20sccm의 SiF4, 10-50 밀리토르의 압력을 포함함을 특징으로 하는 방법.
  119. 제47항에 있어서, 상기 에칭된 재료는 티타늄 텅스텐과 질화 티타늄 화합물로 부터 선택함을 특징으로 하는 방법.
  120. 제47항에 있어서, 상기 에칭된 재료는 산화물과 알루미늄 재료사이에 끼워진 티타늄 텅스텐 및 질화티타늄 화합물로 부터 선택한 장벽층임을 특징으로 하는 방법.
  121. 제120항에 있어서, 상기 안테나의 주파수가 50 내지 800MHZ임을 특징으로 하는 방법.
  122. 제47항에 있어서, 상기 에칭된 재료는 산화물과 알루미늄 재료사이에 끼워진 티타늄 텅스텐과 질화티타늄 화합물로 부터 선택한 장벽층이고, 상기 안테나 전력은 50내지 250에서 300내지 600와트이고, 상기 하부 및 바이어스 전력을 100 내지 200 와트이고, 상기 가스화학 성분은 10 내지 100sccm의 Bcl3, 0sowl 20sccm의 염소 0 내지 20sccm의 CF4및 상기 챔버압력은 5내지 50 밀리토르임을 특징으로 하는 방법.
  123. 제49항에 있어서, 알루미늄위에 산화실리콘층을 증착시키는 단계와, 상기 산화물 증축을 게속함으로써 스퍼터링 면가공 증착을 하는 동시에 상기 증착율보다 더 낮은 에칭율로 증착 산화물을 스퍼터링 에칭하는 단계를 포함함을 특징으로 하는 방법.
  124. 제123항에 있어서, 50내지 250 MHZ에서 500 내지 2500와트의 안테나 전력을 포함함을 특징으로하는 방법.
  125. 제124항에 있어서, 상기 제1증착단계가 낮은 캐소드 외장전압을 제공하기 위해 어떠한 하부 바이어스 전압도 없이 50 내지 250MHZ에서 500 내지 2500와트의 안테나 전력, 실리콘 함유가스 및 산소를 포함한 가스 1내지 30밀리토르의 챔버 압력을 가하는 단계를 포함하고, 상기 제2면가공 증착단계는 약 200 내지 600볼트의 캐소드 외장전압을 제공하기 위해 200 내지 1000와트의 하부 바이어스 전력을 가하는 단계와, 동시 에칭으로 네트증착을 하기 위해 400 내지 800sccm의 아르곤을 첨가하는 단계를 포함함을 특징으로 하는 방법.
  126. 제125항에 있어서, 상기 제1증착단계는 1내지 2kW의 안테나 전력과 30내지 10sccm실란 및 30 내지 200sccm의 산소를 포함한 가스 혼합물을 사용하고, 상기 제2면가공 증착단계는 400내지 800sccm의 아르곤을 첨가함을 특징으로 하는 방법.
  127. 제125항에 있어서, 증착율에 한 스퍼터링 에칭율의 비는 웨이처 지형을 평탄화하도록 선택됨을 특징으로 하는 방법.
  128. 제1항에 있어서, 상기 커플링수단은 원형으로 분극화된 전자기 에너지를 상기 챔버내로 커플링시킴을 특징으로 하는 방법.
  129. 제28항에 있어서, 상기 AC에너지 공급원의 전력은 선택된 캐소드 외장전압과 AC에너지 공급원의 전력은 선택된 캐소드 외전전압과 DC바이어스의 적어도 하나를 유지시키도록 자동적으로 변화됨을 특징으로 하는 방법.
  130. 제28항에 있어서, 상기 AC에너지 공급원의 전력은 선택된 캐소드 외장전압과 DC 바이어스의 적어도 하나를 유지하도록 자동적으로 변화됨을 특징으로 하는 시스템.
  131. 제57항에 있어서, 상기 가스는 상기 산화물을 스퍼터링 에칭하기 위해 비반응성 가스를 포함함을 특징으로 하는 방법.
    ※ 참고사항 : 최초출원내용에 의하여 공개하는 것임.
KR1019910022018A 1990-12-03 1991-12-03 Vhf/uhf 공진 안테나 공급원을 사용하는 플라즈마 반응기 및 플라즈마를 발생시키는 방법 KR920014373A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US62474090A 1990-12-03 1990-12-03
US07/624,740 1990-12-03
US62605090A 1990-12-07 1990-12-07
US07/626,050 1990-12-07

Publications (1)

Publication Number Publication Date
KR920014373A true KR920014373A (ko) 1992-07-30

Family

ID=27089746

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019910022018A KR920014373A (ko) 1990-12-03 1991-12-03 Vhf/uhf 공진 안테나 공급원을 사용하는 플라즈마 반응기 및 플라즈마를 발생시키는 방법

Country Status (3)

Country Link
EP (1) EP0489407A3 (ko)
JP (1) JP2519364B2 (ko)
KR (1) KR920014373A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100455091B1 (ko) * 1996-12-05 2005-05-13 동경 엘렉트론 주식회사 플라즈마처리장치

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5392018A (en) * 1991-06-27 1995-02-21 Applied Materials, Inc. Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JP2723384B2 (ja) * 1991-06-28 1998-03-09 シャープ株式会社 半導体装置の製造方法
EP0552490A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
DE69226253T2 (de) * 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
WO1994006263A1 (en) * 1992-09-01 1994-03-17 The University Of North Carolina At Chapel Hill High pressure magnetically assisted inductively coupled plasma
US6225744B1 (en) 1992-11-04 2001-05-01 Novellus Systems, Inc. Plasma process apparatus for integrated circuit fabrication having dome-shaped induction coil
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US6136140A (en) * 1993-01-12 2000-10-24 Tokyo Electron Limited Plasma processing apparatus
KR100238627B1 (ko) * 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
USRE40963E1 (en) * 1993-01-12 2009-11-10 Tokyo Electron Limited Method for plasma processing by shaping an induced electric field
US5565114A (en) * 1993-03-04 1996-10-15 Tokyo Electron Limited Method and device for detecting the end point of plasma process
TW260857B (ko) * 1993-03-04 1995-10-21 Tokyo Electron Co Ltd
DE69422079T2 (de) * 1993-04-05 2000-05-25 Canon Kk Herstellungsverfahren für optischen Aufzeichnungsträger
US6835523B1 (en) 1993-05-09 2004-12-28 Semiconductor Energy Laboratory Co., Ltd. Apparatus for fabricating coating and method of fabricating the coating
FR2709397B1 (fr) * 1993-08-27 1995-09-22 Cit Alcatel Réacteur à plasma pour un procédé de dépôt ou de gravure.
US5565036A (en) * 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
EP0665307A3 (en) * 1994-01-27 1997-04-09 Canon Sales Co Inc CVD apparatus for film production and manufacturing process.
DE4403125A1 (de) * 1994-02-02 1995-08-03 Fraunhofer Ges Forschung Vorrichtung zur Plasmaerzeugung
US5460689A (en) * 1994-02-28 1995-10-24 Applied Materials, Inc. High pressure plasma treatment method and apparatus
US5783492A (en) * 1994-03-04 1998-07-21 Tokyo Electron Limited Plasma processing method, plasma processing apparatus, and plasma generating apparatus
JP2641390B2 (ja) * 1994-05-12 1997-08-13 日本電気株式会社 プラズマ処理装置
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5529197A (en) * 1994-12-20 1996-06-25 Siemens Aktiengesellschaft Polysilicon/polycide etch process for sub-micron gate stacks
US5591301A (en) * 1994-12-22 1997-01-07 Siemens Aktiengesellschaft Plasma etching method
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5605603A (en) * 1995-03-29 1997-02-25 International Business Machines Corporation Deep trench process
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5952244A (en) * 1996-02-15 1999-09-14 Lam Research Corporation Methods for reducing etch rate loading while etching through a titanium nitride anti-reflective layer and an aluminum-based metallization layer
US6004884A (en) * 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
KR100493903B1 (ko) * 1996-06-05 2005-09-02 램 리서치 코포레이션 플라즈마처리챔버용온도제어방법및장치
US5846443A (en) * 1996-07-09 1998-12-08 Lam Research Corporation Methods and apparatus for etching semiconductor wafers and layers thereof
EP0838839B1 (en) * 1996-09-27 2008-05-21 Surface Technology Systems Plc Plasma processing apparatus
US6534922B2 (en) 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
US5883007A (en) * 1996-12-20 1999-03-16 Lam Research Corporation Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5980768A (en) * 1997-03-07 1999-11-09 Lam Research Corp. Methods and apparatus for removing photoresist mask defects in a plasma reactor
US6127278A (en) * 1997-06-02 2000-10-03 Applied Materials, Inc. Etch process for forming high aspect ratio trenched in silicon
US6087266A (en) * 1997-06-27 2000-07-11 Lam Research Corporation Methods and apparatus for improving microloading while etching a substrate
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US7253109B2 (en) 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
KR20010032498A (ko) 1997-11-26 2001-04-25 조셉 제이. 스위니 손상없는 스컵쳐 코팅 증착
US6085688A (en) * 1998-03-27 2000-07-11 Applied Materials, Inc. Method and apparatus for improving processing and reducing charge damage in an inductively coupled plasma reactor
US5935874A (en) * 1998-03-31 1999-08-10 Lam Research Corporation Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
GB2343992B (en) * 1998-11-20 2001-06-20 Michael John Thwaites High density plasmas
JP3160263B2 (ja) 1999-05-14 2001-04-25 キヤノン販売株式会社 プラズマドーピング装置及びプラズマドーピング方法
US6399507B1 (en) * 1999-09-22 2002-06-04 Applied Materials, Inc. Stable plasma process for etching of films
US6277763B1 (en) * 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
US6463873B1 (en) 2000-04-04 2002-10-15 Plasma Quest Limited High density plasmas
US7115523B2 (en) 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
US6391790B1 (en) 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US7183201B2 (en) 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
JP3820188B2 (ja) * 2002-06-19 2006-09-13 三菱重工業株式会社 プラズマ処理装置及びプラズマ処理方法
JP2005064035A (ja) * 2003-08-12 2005-03-10 Fujio Masuoka 半導体装置のエッチング法
FR2880105B1 (fr) * 2004-12-23 2007-04-20 Cie Financiere Alcatel Sa Dispositif et procede de pilotage de l'operation de deshydratation durant un traitement de lyophilisation
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
JP5098882B2 (ja) * 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US20090056877A1 (en) 2007-08-31 2009-03-05 Tokyo Electron Limited Plasma processing apparatus
JP5069531B2 (ja) * 2007-09-28 2012-11-07 富士フイルム株式会社 窒化シリコン膜の形成方法
EP2208221A4 (en) * 2007-11-01 2010-12-15 Eugene Technology Co Ltd DEVICE FOR WAFER SURFACE TREATMENT USING AN INDUCTIVE COUPLED HIGH-FREQUENCY PLASMA
JP5069598B2 (ja) * 2008-03-27 2012-11-07 富士フイルム株式会社 ガスバリアフィルムの製造方法
JP5069597B2 (ja) * 2008-03-27 2012-11-07 富士フイルム株式会社 ガスバリアフィルムの製造方法
JP2010087187A (ja) * 2008-09-30 2010-04-15 Tokyo Electron Ltd 酸化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
JP5099101B2 (ja) 2009-01-23 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
JP2016530699A (ja) * 2013-05-31 2016-09-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ処理システムのためのアンテナアレイ構成
JP6455783B2 (ja) * 2015-03-11 2019-01-23 Sppテクノロジーズ株式会社 高周波電力システム及びこれを備えたプラズマ処理装置
US10153133B2 (en) * 2015-03-23 2018-12-11 Applied Materials, Inc. Plasma reactor having digital control over rotation frequency of a microwave field with direct up-conversion

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4232057A (en) * 1979-03-01 1980-11-04 International Business Machines Corporation Semiconductor plasma oxidation
JPS5633839A (en) * 1979-08-29 1981-04-04 Hitachi Ltd Plasma treatment and device therefor
FR2555362B1 (fr) * 1983-11-17 1990-04-20 France Etat Procede et dispositif de traitement d'un materiau semi-conducteur, par plasma
CA1247757A (en) * 1985-05-03 1988-12-28 The Australian National University Method and apparatus for producing large volume magnetoplasmas
JPH0216732A (ja) * 1988-07-05 1990-01-19 Mitsubishi Electric Corp プラズマ反応装置
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
WO1991010341A1 (en) * 1990-01-04 1991-07-11 Savas Stephen E A low frequency inductive rf plasma reactor
JP3056772B2 (ja) * 1990-08-20 2000-06-26 株式会社日立製作所 プラズマの制御方法ならびにプラズマ処理方法およびその装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100455091B1 (ko) * 1996-12-05 2005-05-13 동경 엘렉트론 주식회사 플라즈마처리장치

Also Published As

Publication number Publication date
JPH04290428A (ja) 1992-10-15
EP0489407A2 (en) 1992-06-10
JP2519364B2 (ja) 1996-07-31
EP0489407A3 (en) 1992-07-22

Similar Documents

Publication Publication Date Title
KR920014373A (ko) Vhf/uhf 공진 안테나 공급원을 사용하는 플라즈마 반응기 및 플라즈마를 발생시키는 방법
US5707486A (en) Plasma reactor using UHF/VHF and RF triode source, and process
US5429070A (en) High density plasma deposition and etching apparatus
US6444137B1 (en) Method for processing substrates using gaseous silicon scavenger
CA1303253C (en) Processes depending on plasma generation
US6217785B1 (en) Scavenging fluorine in a planar inductively coupled plasma reactor
KR100255703B1 (ko) 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US5421891A (en) High density plasma deposition and etching apparatus
US5300460A (en) UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US6870123B2 (en) Microwave applicator, plasma processing apparatus having same, and plasma processing method
EP0552491B1 (en) Plasma etch process and plasma processing reactor
US20100101727A1 (en) Capacitively coupled remote plasma source with large operating pressure range
JP2625072B2 (ja) 電磁rf結合を用いたプラズマ反応装置及びその方法
JP2543642B2 (ja) 高周波交流電気エネルギ―と相対的に低い周波数の交流電気的エネルギ―を有する、工作物を処理するためのシステムおよび方法
US5772832A (en) Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6090303A (en) Process for etching oxides in an electromagnetically coupled planar plasma apparatus
KR100373662B1 (ko) 저압 유도적으로 결합된 고밀도 플라즈마 반응기
US6617255B2 (en) Plasma processing method for working the surface of semiconductor devices
JP3907444B2 (ja) プラズマ処理装置及び構造体の製造方法
JP4478352B2 (ja) プラズマ処理装置及びプラズマ処理方法並びに構造体の製造方法
JP3530788B2 (ja) マイクロ波供給器及びプラズマ処理装置並びに処理方法
KR100425658B1 (ko) 마이크로파 공급기, 이를 구비한 플라즈마 처리 장치, 및 플라즈마 처리 방법
KR100249139B1 (ko) 반도체웨이퍼상에 집적회로 구조물을 형성하는데 사용되는vhf/uhf(초고주파/극초단파)플라즈마방법
Samukawa ECR Plasma etching technology for ULSI
JP4532632B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application