JPH0888220A - プラズマ促進材料処理用の誘導結合型高密度プラズマリアクタ - Google Patents

プラズマ促進材料処理用の誘導結合型高密度プラズマリアクタ

Info

Publication number
JPH0888220A
JPH0888220A JP7157851A JP15785195A JPH0888220A JP H0888220 A JPH0888220 A JP H0888220A JP 7157851 A JP7157851 A JP 7157851A JP 15785195 A JP15785195 A JP 15785195A JP H0888220 A JPH0888220 A JP H0888220A
Authority
JP
Japan
Prior art keywords
reactor
ceiling
faraday shield
high frequency
frequency antenna
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP7157851A
Other languages
English (en)
Inventor
Xueyu Qian
チェン シュエユー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH0888220A publication Critical patent/JPH0888220A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【目的】 コイル状の高周波アンテナとプラズマとの間
の容量結合を抑制すること。 【構成】 本発明における誘導結合型プラズマリアクタ
は、高周波アンテナ(45)と、それに高周波電力を供
給する高周波電源と、それらの間における容量結合を低
減させるようアンテナを電源と電気的に絶縁する装置と
を有している。好ましくは、アンテナを絶縁する装置と
して、電源に接続された一次巻線(60)とアンテナに
接続された二次巻線(65)とを有するトランス(5
5)がよい。また、好ましくは、リアクタには複数の層
を有する導電性のファラデーシールド(80)であっ
て、アンテナと真空チャンバ天井との間に配置され且つ
隣接する層の導電部分に面する渦電流抑制アパーチャ
(84)を各層に有するものが含まれているのがよい。
真空チャンバ天井はガス分配マニホールド(90)とし
て作用し、天井の内側の層はガス分配マニホールドのシ
ャワヘッドとなっている。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は誘導結合型プラズマリア
クタに関し、あらゆる適当なプラズマ促進材料処理(pl
asma assisted materials processing)、特に、プラズ
マエッチング、化学気相堆積及びプラズマ浸漬イオン注
入などのような半導体ウェハ処理に対する誘導結合型プ
ラズマリアクタに関する。
【0002】
【従来の技術】多層半導体デバイス構造の作製には通
常、ある材料を別の材料よりも速く選択性エッチングを
行うことが要求される。また、垂直エッチングプロファ
イル転写(vertical etching profile transfer )には
異方性エッチングが要求される。例えば、サブハーフミ
クロンポリシリコンゲートエッチング(sub-half micro
npolysilicon gate etching)では垂直プロファイル
と、二酸化珪素に対して50あるいは100より大きい
エッチング選択比とが要求される。プラズマリアクタに
おいて、反応性化学種では非常に高い選択性を提供する
ことができるが、不十分なエッチング異方性を提供し得
る。高エネルギイオンでは優れた異方性を提供するが、
あまり優れた選択比は提供しない。かなり高い選択性を
有しながら異方性エッチングを達成させるためには、非
常に良く制御されたエネルギ分布を有する高密度イオン
が要求される。このため、近年、様々な高密度プラズマ
リアクタが開発されてきている。
【0003】
【発明が解決しようとする課題】これら新世代のプラズ
マリアクタにおける共通の特徴の一つは、高密度イオン
発生の制御とイオンエネルギの制御とが独立しているこ
とである。非常に興味深いリアクタの一種に誘導結合型
プラズマリアクタがある。このようなリアクタでのプラ
ズマは、コイル状の高周波アンテナにより真空チャンバ
の内部に発生する。イオン密度は、アンテナにおける高
周波電流を調整することにより制御することができる。
イオンエネルギは、ウェハペディスタルに接続された別
の高周波電源(通常、高周波バイアスと呼ばれる)によ
り制御される。しかし、イオンエネルギは単一エネルギ
ではない。イオンエネルギ分布はバイアスパワーや周波
数、イオン種やプラズマ密度のような多くの因子に依存
している。アンテナからプラズマへの容量結合はプラズ
マ電位の振動(plasma potential vibration)を引き起
こし、それによってイオンエネルギ分布を広くしてしま
う。広いイオンエネルギ分布はエッチングの選択性を低
下させる。しかし、最新のプラズマリアクタはすべて多
少の容量結合を有している。容量結合はプラズマの不安
定性だけでなく、望ましくないプラズマモード変化(pl
asma mode change)をももたらす場合がある。さらに、
高周波アンテナに隣接するチャンバ壁上での容量結合に
よりもたらされる過剰なイオン衝撃は、汚染粒子の数又
はチャンバの摩耗を増大させる場合がある。
【0004】このような容量結合を低減するために、コ
イル状アンテナとプラズマとの間にファラデーシールド
を配置することができる。ファラデーシールドは、接地
された薄い導電層である。しかし、ファラデーシールド
に誘起される傾向のあるどんな渦電流も抑制するため
に、ファラデーシールドは、コイル状の高周波アンテナ
の巻線に垂直な方向に位置する薄く細長い開口部を有し
ていなければならない。しかし、これらの開口部はコイ
ル状アンテナからプラズマに多少の電界を導く傾向があ
り、それによって多少の容量結合を許容することとな
る。
【0005】このことに関連する問題は、コイル状の高
周波アンテナから生じる容量結合が、特にコイル状のア
ンテナが天井それ自体に配置されているプラズマリアク
タの真空チャンバ天井近傍でのガス分布を妨げることで
ある。天井は石英製のドームとすることができ、199
3年8月27日にケヴィン・フェアバーン(Kevin Fair
bairn )とロムアルド・ノアク(Romuald Nowak )によ
り出願され本願出願人に譲渡された米国特許願第08/
113,776号明細書(発明の名称「高密度プラズマ
CVD・エッチングリアクタ」)に開示されているよう
に、天井に位置しているコイル状の高周波アンテナはド
ーム形状である。本明細書中では、この米国特許願の開
示を参考として援用している。ガス分布が天井に非常に
近接している場合、容量結合は、ガス分配装置の内部で
ガスをイオン化させ、またガス分配装置の内部で発生し
た粒子によるリアクタ全体にわたる汚染とガス分配装置
の摩耗とを引き起こすであろう。
【0006】通常、天井の上にある高周波アンテナは、
ガス分配装置内部の厳密なイオン衝撃を引き起こすのに
充分な3kVないし7kVの電圧で操作される。このた
め、このようなリアクタ内でのガス分布はウェハの側部
近傍あるいは真空チャンバの側壁近傍の領域に制限され
てきた。このようなガス分布(チャンバの側部からの)
はウェハ全面にわたってイオンや中性粒子の不均一な分
布を引き起こすおそれがある。さらに、ガス分配装置と
真空ポンプアパーチャとが共にチャンバ側面近傍にあ
り、そのためガスの大部分がプラズマの状態で反応する
ことなくガス分配口から真空ポンプまで直接流れるの
で、分配された非常に多くのガスは無駄になる。このよ
うな問題は、真空チャンバの天井全体から分配させるこ
とにより克服されるであろうと考えられた。しかし、天
井におけるコイル状のアンテナから生じる容量結合によ
りガス分配装置内にプラズマが発生し得るため、天井か
らガスを分配させる方法はないように思われた。
【0007】
【課題を解決するための手段及び作用】本発明は、内部
でウェハを保持するための真空チャンバを含み、且つプ
ラズマガスを含むことができるようになっている誘導結
合型高密度プラズマリアクタにおいて具現化される。ま
た、このリアクタは、高周波アンテナと、バイアス高周
波電極と、高周波アンテナ及び高周波電極に高周波電力
を供給するための高周波電源と、プラズマに対する容量
結合を低減するよう高周波アンテナを高周波電源から絶
縁させるための装置とを有している。高周波アンテナを
絶縁させるための装置は、好ましくは、高周波電源に接
続された(connected across)一次巻線と高周波アンテ
ナに接続された二次巻線とを有するトランスがよい。好
ましくは、リアクタは、複数層を有する導電性のファラ
デーシールドをさらに含んでおり、このファラデーシー
ルドは高周波アンテナと真空チャンバの天井との間に配
置され、また、ファラデーシールドは各層において、フ
ァラデーシールドに隣接する層の、シールドの導電部分
に面する渦電流抑制アパーチャを有しているのがよい。
【0008】好適な実施態様では、高周波アンテナは真
空チャンバ天井に隣接しており、ファラデーシールドは
高周波アンテナと真空チャンバの天井との間にある。ガ
ス分配マニホールドは天井の内側表面に配置され、真空
チャンバの内部に面するガス分配オリフィスを有してい
る。天井、アンテナ、ファラデーシールド及びガス分配
マニホールドはドーム形状であることが好ましい。
【0009】
【実施例】本発明は、誘導結合型プラズマリアクタにお
ける容量結合を実質的に取り除くことにより前述の問題
を克服しており、また、コイル状の高周波アンテナとガ
ス分配装置とが共に真空チャンバの天井に配置された、
新規な誘導結合型プラズマリアクタ構造を促進してい
る。容量結合は高周波トランスにより低減され、この高
周波トランスは、プラズマやチャンバ壁に対してアンテ
ナの電位が浮遊するようコイル状の高周波アンテナを高
周波電源から絶縁している。容量結合はまた、2層ファ
ラデーシールドによっても低減される。この2層ファラ
デーシールドにおいては、ファラデーシールドの一方の
層における渦電流抑制開口部の各々は、ファラデーシー
ルドの他方の層における導電部分に面しており、もっ
て、ファラデーシールドにおける開口部による直接的な
容量結合を抑制している。
【0010】図1を参照すると、誘導結合型プラズマリ
アクタは、円筒状の側壁15と、底壁20と、ドーム状
天井25とを有する真空チャンバ10を含んでいる。主
として、ドーム状天井25は石英である。半導体ウェハ
30は、バイアス電極35を覆うようにして支持されて
いる。高周波発振器40は、バイアス電極35に高周波
電力を供給する。バイアス電極35は絶縁ブロック44
により電気的に絶縁されている。真空ポンプ41は、チ
ャンバ10の内部で真空を維持するようアパーチャ42
を介して真空に引くものである。ドーム状天井25の上
に位置しているコイル状の高周波アンテナ45は螺旋コ
イル状の導電体であり、ドーム状天井25のドームの輪
郭に沿うようにしてドーム状天井25の対称軸周りに巻
かれるものである。また、この高周波アンテナ45は高
周波発振器50から高周波電力を受ける。真空チャンバ
10は、高周波エネルギにより活性化したプラズマとな
るようイオン化されるガスを含む。なお、高周波エネル
ギは、コイル状の高周波アンテナ45から誘導的に結合
されるものである。ガスをチャンバ10の中へ導入する
好ましい方法については、本明細書において後述する。
【0011】コイル状の高周波アンテナ45は、コイル
状の高周波アンテナ45の電位が浮遊するよう高周波発
振器50から電気的に絶縁されている。このため、従来
の絶縁トランス55は、高周波発振器50の出力端子の
両端に接続された一次巻線60と、アンテナ45の両端
に接続された二次巻線65とを有している。一次巻線6
0と二次巻線65は、円柱コア70の周囲に巻かれた導
線である。この分野で良く知られた従来の種類の高周波
整合回路75は、高周波発振器50の出力インピーダン
スをアンテナ45の入力インピーダンスに整合させる。
【0012】図2を参照すると、チャンバ10における
アンテナからプラズマへの容量結合を抑制するために、
2層ファラデーシールド80が天井25とコイルアンテ
ナ45との間に配置されている。ファラデーシールドと
コイル状の高周波アンテナ45とを電気的に相互に絶縁
するために従来の絶縁層81を使用してもよい。ファラ
デーシールド80は、例えば銅のシートメタルで形成さ
れている。2層ファラデーシールド80は、ドーム状天
井25に一致するドーム形状を有した第1の導電層82
を含んでいる。第1の導電層82における一連の周方向
に互いに離隔した細長いアパーチャ84あるいはスロッ
ト84は渦電流を抑制する。渦電流は、抑制しなければ
ドーム形状の導電層82の周囲に周方向にあるいは横方
向に流れる傾向がある。構造的に第1の導電層82に一
致し且つ周方向に互いに離隔した細長い渦電流抑制スロ
ット88を有する第2のドーム形状の導電層86は、第
1の導電層82の上に配置されている。導電層82,8
6は共に接地されており、共に頂部あるいは底部にて互
いに接続されてもよい。図2に示されるように、これら
の導電層82,86は個々独立の銅シートとしてもよ
い。
【0013】2つのドーム形状の導電層82,86は、
第1の導電層82におけるスロット84が第2の導電層
86におけるスロット88からずれるように、相互に僅
かに回転されていることが重要である。このようにし
て、各導電層82,84における相応するスロット8
4,88を通る開口は、他方の導電層の固体部分(soli
dportion )により遮断されあるいは覆われ、もって、
ファラデーシールド80を通過する電界のための開口進
路(open path )あるいはアンシールド進路がなくなる
こととなる。従って、ファラデーシールド80は、真空
チャンバ10内におけるアンテナ45からプラズマへの
容量結合をさらに大きく抑制することになる。
【0014】2つのファラデーシールド層82,84
は、2つの導電層82,84の間のアーク発生を防ぐの
に充分な厚さを有する絶縁層89により電気的に相互に
絶縁されている。このようにして、アパーチャあるいは
スロット84,88は、渦電流がコイル状のアンテナの
方向に沿って流れるのを抑制し、このことがファラデー
シールド82,84によりコイル状のアンテナからの高
周波エネルギの散逸を防止している。絶縁層89の厚さ
は数ミリメートルのオーダであってもよく、この厚さ
は、コイル状の高周波アンテナに供給される高周波電力
に依存する。
【0015】コイル状の高周波アンテナ45が絶縁トラ
ンス55により絶縁され、且つ、改良されたシールディ
ングが2層ファラデーシールド80により与えられる状
態において、真空チャンバ10におけるプラズマに対す
る容量結合は、ガス分配装置の内部でガスをイオン化さ
せるというおそれを殆ど生じさせることなく、ガス分配
装置を天井25に接触した状態で配置できるという程度
まで抑制される。図2及び図3に示されるように、天井
25の内側の層はガス分配マニホールド90となってい
る。ガス分配マニホールド90は、例えば石英材料で形
成されており、1つのガス吸気口と、ウェハ30に面す
るガスマニホールドの底面96に設けられた多数のガス
分配オリフィス94とを有する。ガス分配オリフィス9
4は、均一なガス分布をウェハの全面に提供するようガ
ス分配マニホールド底面96全域にわたって分布されて
いる。
【0016】以上、誘導結合を起こす高周波アンテナが
ドーム形状の天井の上に配置されている誘導結合型プラ
ズマリアクタに関して本発明を説明してきたが、本発明
は他の形状の天井でも有用である。さらに、本発明は、
垂直円柱側壁の周囲に巻かれたコイル状の高周波アンテ
ナを有するリアクタのような、アンテナが天井上あるい
はその上方に配置されていない誘導結合型プラズマリア
クタでも有用である。
【0017】また、本発明を好適な実施例を特に参考に
して詳細に説明したが、本発明の真の精神及び発明の範
囲から逸脱することなく、上記実施例の変更又は変形が
なされ得ることは理解されよう。
【0018】
【発明の効果】本発明によれば、コイル状の高周波アン
テナが絶縁トランスにより絶縁され、改良されたシール
ディングが2層ファラデーシールドにより与えられるの
で、真空チャンバ内のプラズマに対する容量結合を抑制
することができ、ガス分配装置の内部でガスをイオン化
させるおそれが殆どなくなる。
【0019】また、上記2層ファラデーシールドにおい
ては、一方の層における渦電流抑制開口部の各々は、他
方の層における導電部分に面しているため、ファラデー
シールドにおける開口部による直接的な容量結合をさら
に抑制することができる。
【図面の簡単な説明】
【図1】本発明を具現化した誘導結合型プラズマリアク
タの概略図である。
【図2】図1の実施例で採用されるファラデーシールド
とガス分配装置の拡大斜視図である。
【図3】図2のガス分配装置の底面図である。
【符号の説明】
10…真空チャンバ、15…円筒側壁、20…底壁、2
5…ドーム天井、30…ウェハ、35…バイアス電極、
40…高周波発振器、41…真空ポンプ、42…アパー
チャ、44…絶縁ブロック、45…アンテナ、50…高
周波発振器、55…トランス、60…一次巻線、65…
二次巻線、70…円柱コア、75…高周波整合回路、8
0…ファラデーシールド、81…絶縁層、82…導電
層、84…スロット、86…導電層、88…スロット、
89…絶縁層、90…ガス分配マニホールド、92…吸
気口、94…オリフィス、96…底面。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/205 21/265 H05H 1/46 L 9216−2G

Claims (19)

    【特許請求の範囲】
  1. 【請求項1】 ウェハを内部に保持するための真空チャ
    ンバを含み、且つプラズマガスを含むことができる誘導
    結合型プラズマリアクタであって、 高周波アンテナと、前記高周波アンテナに高周波電力を
    供給するための高周波電源と、 前記高周波アンテナを前記高周波電源と電気的に絶縁す
    るための手段とを備えるリアクタ。
  2. 【請求項2】 前記絶縁するための手段は、前記高周波
    電源に接続された(connected across)一次巻線と前記
    高周波アンテナに接続された二次巻線とを有するトラン
    ス(transformer )を備える請求項1記載のリアクタ。
  3. 【請求項3】 複数の層を有する導電性のファラデーシ
    ールドであって、 前記高周波アンテナと前記真空チャンバとの間に配置さ
    れ、前記ファラデーシールドの各層に、前記ファラデー
    シールドに隣接する(adjacent)層の前記シールドの導
    電部分に面する渦電流抑制アパーチャを有しているもの
    をさらに備える請求項1記載のリアクタ。
  4. 【請求項4】 前記真空チャンバの上に位置する天井
    と、前記天井に隣接する前記高周波アンテナと、前記高
    周波アンテナ及び前記真空チャンバの天井の間に配置さ
    れた前記ファラデーシールドと、 前記真空チャンバの内側に面するガス分配オリフィスを
    有する前記天井の内側表面上のガス分配マニホールドと
    をさらに備える請求項3記載のリアクタ。
  5. 【請求項5】 前記天井は、前記真空チャンバの内側に
    面する凹型側部のドーム形状を有し、 前記高周波アンテナは、前記ドーム形状の天井の周囲に
    巻かれた螺旋コイル状の導電体を備え、前記渦電流抑制
    アパーチャは、前記螺旋コイル状の導電体にほぼ垂直に
    位置しており、 前記ファラデーシールドは、前記天井のドーム形状に一
    致するドーム形状の導電性のシート(sheet )を備え、 前記ガス分配マニホールドは、前記天井のドーム形状に
    一致するドーム形状を有しており、前記ガス分配マニホ
    ールド、前記ファラデーシールド、前記高周波アンテナ
    及び前記天井は共に入れ子である(being nested toget
    her )請求項4記載のリアクタ。
  6. 【請求項6】 前記ファラデーシールドは、一対の薄い
    ドーム形状の導電シートを備える請求項5記載のリアク
    タ。
  7. 【請求項7】 ウェハを内部で保持するための真空チャ
    ンバを含み、且つプラズマを含むことができる誘導結合
    型プラズマリアクタであって、 前記チャンバに隣接し且つ高周波電源に接続されるよう
    になっている高周波アンテナであって、前記チャンバの
    内部に電力を放射する(irradiating )ことのできるも
    のと、 複数の層を有し且つ前記高周波アンテナ及び前記真空チ
    ャンバの間に配置された導電性ファラデーシールドであ
    って、前記ファラデーシールドの各層に、前記ファラデ
    ーシールドに隣接する層における前記シールドの導電部
    分に面する渦電流抑制アパーチャを有しているものとを
    備えるリアクタ。
  8. 【請求項8】 前記真空チャンバの上に位置する天井
    と、前記天井に隣接する前記高周波アンテナと、前記高
    周波アンテナ及び前記真空チャンバの前記天井の間に配
    置された前記ファラデーシールドと、 前記真空チャンバの内側に面するガス分配オリフィスを
    有する前記天井の内側表面上のガス分配マニホールドと
    をさらに備える請求項7記載のリアクタ。
  9. 【請求項9】 前記天井は、前記真空チャンバの内側に
    面する凹型側部のドーム形状を有し、 前記高周波アンテナは、前記ドーム形状の天井の周囲に
    巻かれた螺旋コイル状の導電体を備え、前記渦電流抑制
    アパーチャは、前記螺旋コイル状の導電体にほぼ垂直に
    位置し、 前記ファラデーシールドは、前記天井のドーム形状に一
    致するドーム形状の導電シートを備え、 前記ガス分配マニホールドは、前記天井のドーム形状に
    一致するドーム形状を有し、前記ガス分配マニホール
    ド、前記ファラデーシールド、前記高周波アンテナ及び
    前記天井は共に入れ子である請求項8記載のリアクタ。
  10. 【請求項10】 前記ファラデーシールドは、一対の薄
    いドーム形状の導電シートを備える請求項8記載のリア
    クタ。
  11. 【請求項11】 アンテナとファラデーシールドとの間
    に絶縁層をさらに備える請求項7記載のリアクタ。
  12. 【請求項12】 前記ファラデーシールドは、複数の導
    電層と、隣接する導電層の間に1つの絶縁層とを含む請
    求項11記載のリアクタ。
  13. 【請求項13】 エネルギをリアクタの内部へ誘導的に
    結合させるためのアプリケータ(applicator)、及び交
    流電力の電源と共に使用するためのプラズマリアクタに
    おいて、 エネルギをリアクタの内部へ誘導的に結合させるための
    アプリケータ、及び交流電力の電源の間に接続された電
    気絶縁器であって、電力が電源からアプリケータまで伝
    わることを可能にすると共に容量結合を最小にするもの
    と、 リアクタに隣接して又はリアクタ及びアプリケータの間
    にアプリケータから離隔して配置されている導電性のフ
    ァラデーシールドであって、離隔配置された複数の導電
    層を有し、各導電層は、隣接する層の固体部分(solid
    portion )に面するアパーチャを備え、前記アパーチャ
    は、層内における渦電流を抑制するように配列されてい
    る(being oriented)ものを備えるリアクタ。
  14. 【請求項14】 リアクタ内で、ファラデーシールドと
    反対側の内壁に隣接するガス分配マニホールドであっ
    て、リアクタの内側に向けられたオリフィスを有するも
    のをさらに備える請求項13記載のリアクタ。
  15. 【請求項15】 交流電力は高周波であり、電気絶縁器
    はトランスである請求項13記載のリアクタ。
  16. 【請求項16】 リアクタは、ドーム形状の上部壁を備
    え、アプリケータは、前記上部壁の周囲に巻かれたコイ
    ル状の導電体として備えられており、ファラデーシール
    ドは、リアクタの上部壁の湾曲部(curvature )にほぼ
    一致している請求項13記載のリアクタ。
  17. 【請求項17】 ファラデーシールドの導電層内にある
    アパーチャは、アプリケータ導電体の隣接部分の配列
    (orientation )に対して垂直方向に長くなっている請
    求項16記載のリアクタ。
  18. 【請求項18】 絶縁層が、ファラデーシールドとアプ
    リケータとの間の空間を占める請求項13記載のリアク
    タ。
  19. 【請求項19】 絶縁層が、ファラデーシールドの、隣
    接する導電層の間の空間を占める請求項13記載のリア
    クタ。
JP7157851A 1994-06-23 1995-06-23 プラズマ促進材料処理用の誘導結合型高密度プラズマリアクタ Withdrawn JPH0888220A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/265,596 US5540800A (en) 1994-06-23 1994-06-23 Inductively coupled high density plasma reactor for plasma assisted materials processing
US08/265596 1994-06-23

Publications (1)

Publication Number Publication Date
JPH0888220A true JPH0888220A (ja) 1996-04-02

Family

ID=23011102

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7157851A Withdrawn JPH0888220A (ja) 1994-06-23 1995-06-23 プラズマ促進材料処理用の誘導結合型高密度プラズマリアクタ

Country Status (3)

Country Link
US (1) US5540800A (ja)
JP (1) JPH0888220A (ja)
KR (1) KR100378468B1 (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001517373A (ja) * 1997-03-31 2001-10-02 ラム リサーチ コーポレイション プラズマ処理チャンバの内面上への堆積物の堆積を制御する方法及び装置
JP2001284265A (ja) * 2000-03-31 2001-10-12 Lam Res Corp プラズマ処理装置
JP2002500413A (ja) * 1997-12-31 2002-01-08 ラム リサーチ コーポレーション 電力供給された非磁性金属部材をプラズマac励起源とプラズマの間に含むプラズマ装置
JP2002537648A (ja) * 1999-02-19 2002-11-05 東京エレクトロン株式会社 誘導結合rfプラズマ源を静電遮蔽し、プラズマの点火を促進する装置および方法
JP2004500703A (ja) * 1999-07-12 2004-01-08 アプライド マテリアルズ インコーポレイテッド アンテナと誘電体ウインドとの間にシールド電極が置かれた誘導結合型プラスマプロセスチャンバ
US6836073B2 (en) 2002-06-10 2004-12-28 Tokyo Ohka Kogyo Co., Ltd. Simultaneous discharge apparatus
JP2005026233A (ja) * 1996-10-02 2005-01-27 Tokyo Electron Ltd プラズマ処理装置
KR100455091B1 (ko) * 1996-12-05 2005-05-13 동경 엘렉트론 주식회사 플라즈마처리장치
US6939813B2 (en) 1998-02-26 2005-09-06 Micron Technology, Inc. Apparatus for improved low pressure inductively coupled high density plasma reactor
KR100824304B1 (ko) * 2006-12-21 2008-04-22 세메스 주식회사 플라즈마 처리 장치
JPWO2006077735A1 (ja) * 2004-12-28 2008-06-19 株式会社日立国際電気 基板処理装置
JP2008251830A (ja) * 2007-03-30 2008-10-16 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP2011124293A (ja) * 2009-12-09 2011-06-23 Hitachi High-Technologies Corp プラズマ処理装置
JP2012138411A (ja) * 2010-12-24 2012-07-19 Canon Anelva Corp プラズマ処理装置
JP2015076092A (ja) * 2013-10-08 2015-04-20 エルジー ディスプレイ カンパニー リミテッド タッチ入力装置

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5685942A (en) * 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
JP3426382B2 (ja) * 1995-01-24 2003-07-14 アネルバ株式会社 プラズマ処理装置
US6132564A (en) * 1997-11-17 2000-10-17 Tokyo Electron Limited In-situ pre-metallization clean and metallization of semiconductor wafers
US6224724B1 (en) 1995-02-23 2001-05-01 Tokyo Electron Limited Physical vapor processing of a surface with non-uniformity compensation
US5683539A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Inductively coupled RF plasma reactor with floating coil antenna for reduced capacitive coupling
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5763851A (en) * 1995-11-27 1998-06-09 Applied Materials, Inc. Slotted RF coil shield for plasma deposition system
WO1997033300A1 (en) * 1996-03-06 1997-09-12 Mattson Technology, Inc. Icp reactor having a conically-shaped plasma-generating section
KR100212125B1 (ko) * 1996-03-19 1999-08-02 윤종용 고밀도 플라즈마 소스의 이온화 증진 구조
US5880033A (en) * 1996-06-17 1999-03-09 Applied Materials, Inc. Method for etching metal silicide with high selectivity to polysilicon
US6056848A (en) 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
EP0841683A3 (en) * 1996-10-08 1999-12-01 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6033585A (en) * 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
US5948215A (en) * 1997-04-21 1999-09-07 Tokyo Electron Limited Method and apparatus for ionized sputtering
US5800688A (en) * 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
DE19734278C1 (de) * 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
US6565717B1 (en) * 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6232233B1 (en) * 1997-09-30 2001-05-15 Siemens Aktiengesellschaft Methods for performing planarization and recess etches and apparatus therefor
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6117401A (en) * 1998-08-04 2000-09-12 Juvan; Christian Physico-chemical conversion reactor system with a fluid-flow-field constrictor
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
SE9903675D0 (sv) * 1999-10-13 1999-10-13 Abb Research Ltd A device and a method for heat treatment of an object in a susceptor
JP2003514388A (ja) * 1999-11-15 2003-04-15 ラム リサーチ コーポレーション 処理システム用の材料およびガス化学剤
US6341574B1 (en) 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6322661B1 (en) 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma
US6320320B1 (en) 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
US6531030B1 (en) 2000-03-31 2003-03-11 Lam Research Corp. Inductively coupled plasma etching apparatus
AU2001247889A1 (en) * 2000-03-31 2001-10-15 Lam Research Corporation Apparatus and methods for actively controlling rf peak-to-peak voltage in an inductively coupled plasma etching system
US6422173B1 (en) 2000-06-30 2002-07-23 Lam Research Corporation Apparatus and methods for actively controlling RF peak-to-peak voltage in an inductively coupled plasma etching system
CN1320594C (zh) * 2000-03-31 2007-06-06 拉姆研究公司 感应耦合的等离子体腐蚀装置及其方法
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
EP1454346B1 (en) * 2001-10-18 2012-01-04 Chul Soo Byun Method and apparatus for chemical vapor deposition capable of preventing contamination and enhancing film growth rate
CN1666281A (zh) * 2002-07-08 2005-09-07 皇家飞利浦电子股份有限公司 在紧固区和信息区之间设有过渡区域的信息载体
EP1522072B1 (en) * 2002-07-08 2009-04-29 Koninklijke Philips Electronics N.V. Media drive clamping device provided with communication means
US6822185B2 (en) * 2002-10-08 2004-11-23 Applied Materials, Inc. Temperature controlled dome-coil system for high power inductively coupled plasma systems
KR100964398B1 (ko) * 2003-01-03 2010-06-17 삼성전자주식회사 유도결합형 안테나 및 이를 채용한 플라즈마 처리장치
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
KR100553757B1 (ko) 2003-11-19 2006-02-20 삼성에스디아이 주식회사 유도결합형 플라즈마 처리장치
US20050194099A1 (en) * 2004-03-03 2005-09-08 Jewett Russell F.Jr. Inductively coupled plasma source using induced eddy currents
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US20090255630A1 (en) * 2005-04-28 2009-10-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus and electrode member
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
TW200830941A (en) * 2007-01-15 2008-07-16 Jehara Corp Plasma generating apparatus
US8109943B2 (en) * 2009-04-10 2012-02-07 Gordian Surgical, LLC Systems and methods for suture anchor deployment
JP5227245B2 (ja) * 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
WO2011040147A1 (ja) * 2009-09-29 2011-04-07 株式会社 アルバック プラズマエッチング装置
JP5913829B2 (ja) * 2011-04-21 2016-04-27 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
US9293353B2 (en) 2011-04-28 2016-03-22 Lam Research Corporation Faraday shield having plasma density decoupling structure between TCP coil zones
US9966236B2 (en) * 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
WO2013099372A1 (ja) * 2011-12-27 2013-07-04 キヤノンアネルバ株式会社 放電容器及びプラズマ処理装置
JP6051788B2 (ja) * 2012-11-05 2016-12-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ発生装置
JP5939147B2 (ja) 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
US9029267B2 (en) 2013-05-16 2015-05-12 Lam Research Corporation Controlling temperature of a faraday shield
US9885493B2 (en) 2013-07-17 2018-02-06 Lam Research Corporation Air cooled faraday shield and methods for using the same
JP6240441B2 (ja) * 2013-09-06 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN104576278B (zh) * 2013-10-10 2017-05-10 中微半导体设备(上海)有限公司 一种法拉第屏蔽板及其所在的等离子体处理系统
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US20190131112A1 (en) * 2017-10-30 2019-05-02 Mattson Technology, Inc. Inductively Coupled Plasma Wafer Bevel Strip Apparatus
US20230162947A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. High density plasma enhanced process chamber

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4661304A (en) * 1984-01-10 1987-04-28 The United States Of America As Represented By The United States Department Of Energy Resonant-cavity antenna for plasma heating
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
DE3738352A1 (de) * 1987-11-11 1989-05-24 Technics Plasma Gmbh Filamentloses magnetron-ionenstrahlsystem
EP0379828B1 (en) * 1989-01-25 1995-09-27 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
WO1992020833A1 (en) * 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
DE69226253T2 (de) * 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005026233A (ja) * 1996-10-02 2005-01-27 Tokyo Electron Ltd プラズマ処理装置
KR100455091B1 (ko) * 1996-12-05 2005-05-13 동경 엘렉트론 주식회사 플라즈마처리장치
JP2001517373A (ja) * 1997-03-31 2001-10-02 ラム リサーチ コーポレイション プラズマ処理チャンバの内面上への堆積物の堆積を制御する方法及び装置
JP2002500413A (ja) * 1997-12-31 2002-01-08 ラム リサーチ コーポレーション 電力供給された非磁性金属部材をプラズマac励起源とプラズマの間に含むプラズマ装置
US6939813B2 (en) 1998-02-26 2005-09-06 Micron Technology, Inc. Apparatus for improved low pressure inductively coupled high density plasma reactor
JP2002537648A (ja) * 1999-02-19 2002-11-05 東京エレクトロン株式会社 誘導結合rfプラズマ源を静電遮蔽し、プラズマの点火を促進する装置および方法
JP2004500703A (ja) * 1999-07-12 2004-01-08 アプライド マテリアルズ インコーポレイテッド アンテナと誘電体ウインドとの間にシールド電極が置かれた誘導結合型プラスマプロセスチャンバ
JP2001284265A (ja) * 2000-03-31 2001-10-12 Lam Res Corp プラズマ処理装置
JP4610039B2 (ja) * 2000-03-31 2011-01-12 ラム リサーチ コーポレーション プラズマ処理装置
US6836073B2 (en) 2002-06-10 2004-12-28 Tokyo Ohka Kogyo Co., Ltd. Simultaneous discharge apparatus
JPWO2006077735A1 (ja) * 2004-12-28 2008-06-19 株式会社日立国際電気 基板処理装置
JP2010192910A (ja) * 2004-12-28 2010-09-02 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US8453600B2 (en) 2004-12-28 2013-06-04 Hitachi Kokusai Electric Inc. Substrate processing apparatus
KR100824304B1 (ko) * 2006-12-21 2008-04-22 세메스 주식회사 플라즈마 처리 장치
JP2008251830A (ja) * 2007-03-30 2008-10-16 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP2011124293A (ja) * 2009-12-09 2011-06-23 Hitachi High-Technologies Corp プラズマ処理装置
JP2012138411A (ja) * 2010-12-24 2012-07-19 Canon Anelva Corp プラズマ処理装置
JP2015076092A (ja) * 2013-10-08 2015-04-20 エルジー ディスプレイ カンパニー リミテッド タッチ入力装置
US9519359B2 (en) 2013-10-08 2016-12-13 Lg Display Co., Ltd. Touch input apparatus including annular open or closed loop ground plate, with or without slits, positioned around a magnetic core, for decreasing eddy loss

Also Published As

Publication number Publication date
KR100378468B1 (ko) 2003-06-02
KR960002528A (ko) 1996-01-26
US5540800A (en) 1996-07-30

Similar Documents

Publication Publication Date Title
JPH0888220A (ja) プラズマ促進材料処理用の誘導結合型高密度プラズマリアクタ
US5800621A (en) Plasma source for HDP-CVD chamber
US6939434B2 (en) Externally excited torroidal plasma source with magnetic control of ion distribution
KR101920842B1 (ko) 플라즈마 소스 디자인
EP1727186B1 (en) Plasma chamber with discharge inducing bridge
JP3691528B2 (ja) 高密度プラズマcvd及びエッチングリアクタ
US6756737B2 (en) Plasma processing apparatus and method
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
US6806437B2 (en) Inductively coupled plasma generating apparatus incorporating double-layered coil antenna
JP3114873B2 (ja) プラズマ処理装置、及び、蒸着或いはエッチングの方法
EP0792947B1 (en) Process using an inductively coupled plasma reactor
JPH08339897A (ja) 誘導プラズマ発生装置および容量結合を与える方法
JPH0778698A (ja) 誘導結合型高周波放電方法および誘導結合型高周波放電装置
JP2007317661A (ja) プラズマ反応器
KR20020048415A (ko) 대영역 플라즈마 소스에서의 균일하게 가스를 분배하기위한 장치 및 그 방법
CN101064986B (zh) 结合有多重磁芯的电感耦合等离子体反应器
US6192829B1 (en) Antenna coil assemblies for substrate processing chambers
US6850012B2 (en) Plasma processing apparatus
KR100455951B1 (ko) 하이브리드도체및다중반경돔실링을갖는rf플라즈마반응기
US20040163595A1 (en) Plasma processing apparatus
KR20010112958A (ko) 고밀도 플라즈마 반응기
KR100603286B1 (ko) 다중심축을 가지는 안테나와, 이를 채용한 유도 결합형플라즈마 발생 장치
KR100772447B1 (ko) 내장 마그네틱 코어를 갖는 유도 결합 플라즈마 소스
KR100541448B1 (ko) 플라즈마 반응장치
JP3017021U (ja) 誘導結合プラズマ発生用コイル

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20020903