JP3114873B2 - プラズマ処理装置、及び、蒸着或いはエッチングの方法 - Google Patents

プラズマ処理装置、及び、蒸着或いはエッチングの方法

Info

Publication number
JP3114873B2
JP3114873B2 JP02205302A JP20530290A JP3114873B2 JP 3114873 B2 JP3114873 B2 JP 3114873B2 JP 02205302 A JP02205302 A JP 02205302A JP 20530290 A JP20530290 A JP 20530290A JP 3114873 B2 JP3114873 B2 JP 3114873B2
Authority
JP
Japan
Prior art keywords
plasma
plasma processing
coil
substrate
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP02205302A
Other languages
English (en)
Other versions
JPH0379025A (ja
Inventor
セルダン オグル ジョン
Original Assignee
ラム リサーチ コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23554962&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP3114873(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by ラム リサーチ コーポレイション filed Critical ラム リサーチ コーポレイション
Publication of JPH0379025A publication Critical patent/JPH0379025A/ja
Application granted granted Critical
Publication of JP3114873B2 publication Critical patent/JP3114873B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Description

【発明の詳細な説明】 [発明の目的] (産業上の利用分野) 本発明は、プラズマ処理装置、及び、蒸着或いはエッ
チングの方法に係り、特に、半導体ウェハ等の基板を処
理するために好適に使用され得るほぼ均一な平面状プラ
ズマの生成に関する。
(従来の技術) 従来から、プラズマの生成はエッチング、レジスト除
去、パッシベーション、蒸着などいろいろな半導体製造
過程において有用である。
一般に、プラズマは個々の電子−ガス分子間での衝突
による運動エネルギの移動を介して個々のガス分子をイ
オン化する電子の流れを誘導することによって低圧力の
加工用ガスから生成される。通常、電子は電場内、典型
的には半導体ウェハに平行に向けられた対向する一対の
電極間で生み出される無線周波電場内で加速される。
しかしながら、電子を加速するためウェハの法線方向
の電場の使用では、イオン群に運動エネルギを効果的に
与えることができない。特に、低周波数及び約0.1トー
ル未満の圧力下では運動エネルギを付与できない。この
ような条件下では、電子エネルギの大部分は電子の処理
チャンバの壁又は半導体ウェハ自体との衝突により消失
される。半導体ウェハと電子との直接の衝突はエネルギ
面で無駄であるのみならず、非常な不利益となるウェハ
の加熱を引き起こし得る。
半導体処理装置において使用中プラズマ生成の効率を
増加するべく幾つかの方法が提案されてきた。例えば、
マイクロ波共振チャンバにおいて電子の振動幅を短くす
る超高周波数を使うことにより、処理槽の壁又は半導体
ウェハよりむしろ処理用ガスの分子に電子のエネルギを
移す傾向が高まる。対称的に、電子サイクロトロン共振
(ECR)では処理用ガス内で円周状の電子流れを誘導す
る制御された磁場が使用される。
上記両方の方法では、相対的に高いエネルギ変換効率
が達成できるけれども、非常に均一性の悪いプラズマが
生成される。従って、このプラズマを半導体ウェハに提
供するのに先立って均一にする必要がある。通常、ウェ
ハ又はウェハ群をプラズマに晒すのに先立ち、ある距離
だけプラズマを流すことによってある程度の均一性が達
成され得る。
しかしながら、プラズマの効果を劣化させるある程度
のイオン再結合が生ずる。また、上記それぞれのシステ
ムでは、動作圧力の範囲を限定せざるを得ない。マイク
ロ波共振チャンバは約1トールから760トールまでの処
理用ガスの圧力に対し一般的に効果的である。一方、EC
Rは0.0001トールから0.1トールまでの処理用ガスの圧力
に対し一般的に効果的である。さらに、プラズマに余計
な流れ距離を与える必要性により、両システムの値段及
び設計の複雑さが増加し、かつECRシステムに要求され
る磁場は制御が困難である。
半導体処理装置においてプラズマ生成効率を高める他
のアプローチは、共に誘導結合プラズマと呼ばれるが、
磁気的に高められたプラズマ系(例えば、磁気的に高め
られた反応性イオンエッチング)、及び誘導結合された
電子加速を含む。磁気的に高められたプラズマ系はウェ
ハ表面に平行な定常磁場、及びウェハ表面に垂直な高周
波数の電場を生み出す。定常磁場と高周波数の電場を組
み合わせて得られる力により、電子はサイクロイドの路
に沿って流れ、電場のみによって誘導される直線路と比
較して流れ距離が増加する。
なお、Skidmore(1989)、Semiconductor Internati
onal June1989.pp74−79は、電子サイクロトロン共振
(ECR)及び磁気的に高められた反応性イオンエッチン
グ系(MERIE)を説明する批評記事である。合衆国特許
番号4,368,092には、プラズマをエッチングチャンバの
外側に生み出すための螺旋形の誘導共振体を用いるプラ
ズマ生成系が説明されている。プラズマは非均一であ
り、使用前にチューブを通過する。合衆国特許番号4,42
1,898には、磁芯を有する変換器が処理用ガスを運ぶ絶
縁管内で電子の回転運動を誘起する誘導的に結合された
プラズマ生成装置が説明されている。イオン化されたガ
スは均一ではなく、ウェハへの照射は下流で発生する。
合衆国特許番号4,626,312には、ウェハが下方の電極上
に位置付けられ、プラズマが下方の電極及び該電極に平
行な上方の電極を横切って無線周波エネルギを与えるこ
とによって生成される従来の平行板プラズマエッチング
器が説明されている。合衆国特許番号4,668,338及び4,6
68,365には、反応イオンエッチングに対する磁気的に高
められたプラズマ処理及び化学気相蒸着(CVD)がそれ
ぞれ説明されている。
(発明が解決しようとする課題) しかしながら、上記のアプローチは良好なイオン生成
効率を提供するが、半導体処理において要求される大き
くて均一性の高い磁場を維持することが非常に難しいと
いう問題があった。また、磁気的に高められたプラズマ
系の動作は一般的に約0.01トールから0.1トールまでの
圧力範囲に限定されるという問題があった。
また、誘導結合されたプラズマ処理では、延ばされた
路に従って電子が流される、用語「誘導的に結合された
プラズマ」は2つの異なる技術に対し使われ、両方の技
術は結合エネルギをガスへ移すため交流電流を用いる。
第1は、主要な曲りとガスを介して閉鎖路から成る第2
の曲りとの間で結合する変換器を高めるためフェライト
の磁芯を使う。このような技術は、通常550KHz未満の低
周波数で使う。第2の技術は、イオン化された円筒状の
ガスを取り囲むソレノイドのコイルを用いる。この技術
は低周波数、又は13.56MHzの範囲における周波数のいず
れかに使用し得る。これらの技術のいずれもウェハの表
面付近に対して、該表面に平行で均一なプラズマを提供
しない。
このような理由のため、半導体処理装置内に非常に均
一なプラズマを生成するための方法及び装置(例えば、
エッチング装置、蒸着装置、レジスト除去装置等を含
む)の提供が望まれている。上記装置は非常に広い圧力
範囲にわたって高密度のプラズマの流れを生成し得る。
また、プラズマは直進性を有するイオンエネルギを殆ど
或いは全く有しないよう生み出される。
選択によっては、装置は、プラズマの流れの制御とは
独立して直進エネルギを制御して直進エネルギをプラズ
マイオンに与えるべきである。特に、装置の設計が相対
的に簡単で、装置の操作及び制御が容易で、かつ最小限
の投資で済むならば望ましいことである。同様に、方法
が分かりやすく、実行が容易で、かつ最小限の出費及び
短時間に高い品質の製造物を与えることができるならば
望ましいことである。
[発明の構成] (課題を解決するための手段及び作用) 上記課題を解決するための本発明では、方法及び装置
が方法及び装置が相対的に広い範囲に渡って非常に均一
で平面状のプラズマを生成するための方法及び装置が提
供される。イオン種及び遊離基種が、プラズマによって
非平面的な方向への加速を最小して生み出され、得られ
るプラズマは非常に低い運動エネルギを有する。
特徴的な利点として、本発明では、典型的に10-5トー
ルから5トール及びそれ以上の非常に広い圧力範囲に渡
って均一で平面状のプラズマを生み出すことができる。
この様な広い動作圧力範囲は、一般に、単一のプラズマ
生成装置では得られなかった。本発明の方法及び装置
は、特にECR及びMERIEの磁場制御における要求との比較
で、制作、操作、制御が相対的に簡単である。
本発明の装置は、絶縁シールド又は窓によって少なく
とも一部分において仕切られる一の内部を有する囲い部
から構成される。平面状のコイルが上記シールドの最も
近くに配置され、一の無線周波電源が上記コイルに結合
される。通常、無線周波電源は、電力変換を最大にする
ためのインピーダンス整合回路と、操作時の周波数、典
型的には13.56MHzでの共振に供するための同調回路とを
介して結合される。入口部が、囲い部内に処理用ガスを
供給するため与えられる。コイルを介して無線周波数電
流を共振させるため、絶縁シールドを介して囲い部内に
延びる平面状の磁場が誘導される。このようにして、電
子の回転する流れが誘起される。電子の回転する流れ
は、電子が囲い部の壁に衝突する前の移動行程を大きく
増加させる。さらに、電子が平面状のコイルに平行な一
平面にしっかりと制限されるために、非平面方向への運
動エネルギの転移が最小化される。
好ましい実施例においては、囲い部は平面状の物品、
典型的には半導体ウェハを支持する支持面を含む。この
表面はコイルの平面に平行で、それからプラズマの平面
に平行である一平面内にウェハを支持する。次いで、半
導体ウェハは非常に均一なプラズマの流れに晒されて、
これにより均一なプラズマ処理が補償される。プラズマ
種は、非平面方向への運動速度が最小であるので、半導
体ウェハ上への運動的な衝突は最小化される。つまり、
処理は、半導体ウェハとのプラズマ種の化学相互作用に
一般的に制限され得る。
本発明の方法及び装置は、プラズマエッチング、蒸着
処理、レジストと除去、プラズマによる化学気相蒸着な
ど、様々な半導体処理操作に有用である。
選択によっては、半導体ウェハの表面に対して法線方
向への速度成分が、プラズマの平面に対して法線方向に
無線周波数電位を印加することによって与えられる。例
えば、そのような電位は、平面状のコイルと半導体ウェ
ハが支持される支持表面とを横切って無線周波電源を接
続することによって印加され得る。
(実施例) 本発明は、平面状のプラズマが生成される一般に空気
が濡れ込まない内部チャンバを定める囲い部を用いる。
囲い部は処理用ガスを導入するための少なくとも一の入
口部と、囲い部の内部内で望ましい動作圧力を保持する
べく真空系に接続するための少なくとも一の出口部とを
含む。囲い部の内部内に予め選定された処理用ガスを供
給して予め選定された圧力を保持するためのシステムは
良く知られた技術であり、更に説明する必要はない。
囲い部の内部には、通常、処理される物品群を支持す
るため一以上の載置面がある。典型的には、載置面は囲
い部内に生成される平面状のプラズマに相対して予め選
定された方向、通常はプラズマの平面に大体平行である
方向へ配置されている。
望ましい平面状のプラズマを誘導するため、電気的に
導伝性を有する一のコイルが囲い部の外側に近接して配
置される。コイルは実質的に平面であり、一般的には平
面的な渦巻き又は一連の同心状の輪群から形成された単
一導伝要素である。コイル内に無線周波数電流を誘導す
ることによって、磁場が、コイルの表面に平行な平面領
域内で大体において円形の電子の流れを誘導するよう生
み出される。楕円体のパターン及び他の真の円形からの
変位が許容されるけれども、平面状のコイルは大体にお
いて円形である。さらに、コイルはその径方向に対し真
に平面、又は幾らか平面さからずれている。平面さから
のずれはコイルの径の0.2未満であり、通常径の0.1未満
である。コイルの輪郭の調整は生成される電場の形を改
善するため成される。コイルの径は生成されるべきプラ
ズマの寸法に大体において対応する。コイルの径は8cm
から20cmまでの範囲であり、通常13cmから18cmである。
個々の半導体ウェハの処理のため、コイルの径は大体に
おいて約13cmから18cmである。
コイルは、その全ての方向に渡って相対的に均一な磁
場を生み出すため、十分な数の巻数を含む。巻数はコイ
ルの径に依存し、個々の半導体ウェハを処理するため寸
法付けられたコイルは通常約5乃至8個の巻数を有す
る。コイルの得られるインダクタンスは通常1.2μHか
ら3.5μHで、インピーダンスは100オームから300オー
ムまでの範囲内である。
便宜上、平面状のコイルは電気的に導伝性を有する金
属から形成され、通常、銅から形成される。コイルは約
5オーム乃至30オームのインピーダンスの範囲内で電荷
を運ぶ流れを有する。
平面状のコイルは処理用の囲い部内に形成された絶縁
シールドに隣り合って配置される。絶縁シールドは、平
面状のコイルによって生み出される磁場の透過を許すけ
れども、囲い部の内部の絶縁を保持する。囲い部の残り
部は通常金属である。絶縁シールドは通常石英から成
り、一方、他の絶縁材料、特に運転時の周波数でエネル
ギを吸収しないセラミックスが使用され得る。便宜上、
絶縁シールドは囲い部の壁に形成される出入口に隣接し
て置かれる。出入口の形状は平面状のコイルの形状に通
常対応し、典型的には円形である。平面状のコイルは、
囲い部内に生み出される磁場の強度を最大にするため、
絶縁シールドに密接、又は接触して配置される。絶縁シ
ールドの厚みは重量な事ではなく、通常囲い部内の真空
によって作られる差圧に耐えるに十分であるよう選択さ
れる。
平面状のコイルは、半導体処理装置の操作において一
般に用いられる型の無線周波(RF)発生器によって駆動
される。RF発生器は約18.56MHz乃至10MHzの範囲内にあ
る周波数、典型的には13.56MHzの周波数で通常操作され
る。RF発生器は通常低いインピーダンスを有し、典型的
には約50オームであり、少なくとも約50ボルト、通常少
なくとも約70ボルト以上であるRMS電圧器を用いて約1
アンペア乃至6アンペア、通常約2アンペア乃至3.5ア
ンペアを生み出すことができる。便宜上、RF発生器は、
以下に詳細に説明されるように、本発明の一実施例に係
わる回路に直接結合される同軸ケーブルの形状の出力端
子を有する。
以下に、第1図及び第2図を参照して個々の半導体ウ
ェハをエッチングするために好適なプラズマ処理システ
ムが説明される。
プラズマ処理システム10は、上壁16内に形成されるア
クセス部14を有する囲い部12を含む。絶縁シールド18が
上壁16下方に配置され、アクセス部14を横切って延び
る。絶縁シールド18は、囲い部12の真空に耐える内部19
を定めるため上壁16に強く密着される。
平面状コイル20が絶縁シールド18に隣接したアクセス
部14内に配置される。平面状コイル20は渦巻状に形成さ
れ、中央タップ22及び外側タップ24を有する。平面状コ
イル20の平面は、絶縁シールド18及び半導体ウェハWを
載置する支持載置面13の両方に対し平行に向けられてい
る。この様にして、平面状コイル20は半導体ウェハWに
平行である囲い部12の内部19内で平面状のプラズマを生
み出すことができ、以下に更に詳細に説明される。平面
状コイル20と支持載置面13との距離は一般には3cm乃至1
5cmの範囲内であるが、特有の適用に依存して通常5cm乃
至10cmの範囲内の正確な距離である。
第1図乃至第3図を参照するに、平面状コイル20は上
述された型のRF発生器30によって作動される。RF発生器
30の出力は同軸ケーブル32によって整合回路34に供給さ
れる。整合回路34は、回路の効果的な結合を調整し、動
作時の周波数での回路への負荷を考慮に入れて、相互に
位置付けられる主要コイル36及び第2ループ38を含む。
便宜上、主要コイル36は、結合を調整するため縦軸42回
りに回転されるディスク40上に載置される。
また、可変キャパシタ44がRF発生器30の出力周波数に
回路の共鳴周波数を調整するため、第2ループ38に連な
って与えられる。インピーダンス整合は平面状コイル20
への電力移送の効果を最大にする。付加キャパシタ46が
回路内の主要コイル36の誘導リアクタンスの一部を打ち
消すため、主要回路内に与えられる。
また、平面状コイル20の動作を共振において同調させ
るため、及びコイル回路のインピーダンスを整合させる
ために他の回路構成を採用することもできる。電気回路
におけるそのような全ての変更は本発明の範囲内で考慮
される。
第2図乃至第4図を参照するに、処理用ガスは囲い部
12の側壁を貫通して形成される入口部50を介して囲い部
12の内部19に導入される。入口部50の一は重要なことで
はなく、内部19を貫通して均一に分配して与えられるい
かなる地点からガスが導入されても良い。
ガス分配の均一さを更に高めるために、分配リング52
が与えられても良い。分配リング52は、便宜上支持載置
面13上方に載置され、アクセス部14の周囲を囲む。分配
リング52は環状の高圧部54、及び該高圧部54から分配リ
ング52の開口した中央部58へ延びる一連のノズル56群を
含む。この様にして、入ってくる処理用ガスは平面状の
コイル20によって誘導される磁場の最大強度の領域の回
りに等しく分配される。好ましくは、ノズル56は、入っ
てくる処理用ガスに渦巻状の流れパターンを分け与える
ため、分配リング52の半径方向から外れた方向へ向けら
れても良い。
第5図を参照するに、平面状コイル20は絶縁シールド
18を貫通する磁場を誘導し、破線で示されるように、磁
場強度を示す曲線60を有する。プラズマチャンバ内の可
変磁場は渦巻状のコイルからの各磁場ベクトルの合成で
あり、磁場はプラズマ内の電子の流れによって引き起こ
される。プラズマからの磁場はコイルからの磁場に対向
するので、結果として均一な磁場はコイルからの磁場が
中央へ向けてより強くなるよう要求する。渦巻状のコイ
ルは結果として均一な磁場、即ち均一なプラズマを与え
るため、このような特徴ある形状の磁場を与える。磁場
の強さは平面状コイル20の全ての径方向に対し非常に均
一であり、平面状コイル20及び絶縁シールド18の両方に
平行な一般に平面領域内で回転する電子群の非常に均一
な流れを生み出すことができる。そのような電子群の平
面的な回転は、引き続いて電子群が処理用ガスの個々の
分子と衝突することによって形成されるプラズマ内にイ
オン群及び/又は遊離基群の非常に均一な流れを誘導す
ることができる。プラズマイオン群及び遊離基群は小さ
な選択的な回転速度成分を有するに反し、平面状コイル
20の平面に対し法線方向へはほとんど又はいかなる速度
成分をも有さない。ウェハW(又は他の物品が処理され
る)が平面状コイル20に平行に向けられる限り、反応性
プラズマ種は処理される表面に相対して非常に低い速度
を有する。この様にして、処理される物品に相対して実
質的に速度成分を有する高いエネルギのプラズマを用い
ることに関連する問題が避けられ得る。
しかしながら、ある場合には処理される物品に相対し
て制御されたイオン速度を有することが望ましい。
第6図を参照するに、ウェハWに対し法線方向への速
度成分は平面状コイル20及び電気的に導伝性であるウェ
ハ支持台70を横切ってRF電位を印加することによって達
成され得る。第2RF発生器72は低い周波数(約550KHz未
満)でも高い周波数(約13.56MHz以上)でも動作し得
る。従って、第2RF発生器72は、平面状コイル20内の共
振電流を誘導する発生器30と異なった周波数で動作し得
る。例えば、RF発生器30は、13.56MHzで駆動され、第2R
F発生器72は400KHzで駆動される。第6図に示されたシ
ステムの特有の利点は、(RF発生器30を介してシステム
へ導き入れられたエネルギ量を制御することによって)
プラズマ内でのイオン流れ、及び(第2RF発生器72の出
力を制御することによって)反応種に分け与えられた法
線方向の速度を独立して制御することが可能であること
に起因する。
第7図を参照するに、本発明の他の実施例に係わる平
面状コイル80に対する選択次第の形状が示されている。
平面状コイル80は一連の同心状の輪82群から構成され、
それぞれの続いた輪82は短い横断部材84によって接続さ
れる。平面状コイル80は中央タップ86及び外側タップ88
を含み、上述した本発明の一実施例に係わる残りの電気
回路機構に接続される。
動作時には、予め選定された処理用ガスが、上述した
ように、入口部50を介して内部19内へ導入される。動作
時の圧力は遂行される特有の処理に依存する。
本発明の特有の利点は、プラズマが生成される非常に
広い圧力範囲に見出だされる。平面状コイル20内に共振
電流を誘導することによって、プラズマは、10-5トール
程度の低い圧力下でも5トール程度の高い圧力下でも生
成される。
上述の発明は理解を明確にする目的で詳細に述べられ
たけれども、いかなる改良も請求項の範囲内で実行でき
ることは明らかである。
以上説明したように本発明の1つの側面に係る磁気結
合された平面状のプラズマを生成するための装置によれ
ば、一の絶縁シールドによって少なくとも一部分を仕切
られた該囲い部の内部に処理用のガスを導入する手段
と、前記絶縁シールドに最も近い前記囲い部の外側に配
置された電気的に導伝性である実質的に平面状の一のコ
イルと、該コイルに一の無線周波電源を結合する手段と
を備え、前記結合する手段は、前記コイルに前記無線周
波電源のインピーダンスを整合させる手段と、共振に備
えるため共振回路を同調させる手段とを含むので、半導
体処理に対し要求される大きく均一の磁場を保持するこ
とができ、かつ非常に広い圧力範囲に渡って高密度のプ
ラズマの流れを生成し得る。
また、本発明の1つの側面に係るプラズマで物品を処
理するための装置によれば、一の絶縁シールドによって
少なくとも一部分を仕切られた一の内部を有する一の囲
い部と、該囲い部内にあって予め選定された平面内で処
理される一の物品を支持するための手段と、前記絶縁シ
ールドに最も近い前記囲い部の外側に配置された電気的
に導伝性である平面状の一のコイルと、該平面状コイル
に一の無線周波電源を結合する手段と、制御された圧力
下で前記囲い部内に処理用のガスを導入する手段とを備
え、前記平面状のコイルは予め選定された平面に平行に
向けられたので、装置の設計が相対的に簡単で、装置の
操作及び制御が容易で、かつ最小限の投資で済む。
さらに、本発明の1つの側面に係るプラズマで物品群
を処理するための方法によれば、一の囲い部内に物品群
を置き、制御された圧力下で前記囲い部に処理用のガス
を導入し、前記囲い部内に形成された一の絶縁シールド
に最も近い前記囲い部の外側に配置された実質的に平面
状の一のコイル内に無線周波数電流を共振させ、前記コ
イルに実質的に平行である一の平面状のプラズマが前記
囲い部内に形成されたので、方法が分かりやすく、実行
が容易で、かつ最小限の出費及び短時間に高い品質の製
造物を与えることができる。
[発明の効果] 本発明によれば、例えば、基板を略均一なプラズマで
処理することができる。
【図面の簡単な説明】
第1図は本発明の一実施例に係わる平面状のプラズマを
生成するための装置の等角投影図、 第2図は第1図に示された装置の断面図、 第3図は第1図に示された装置の概略回路図、 第4図は第1図に示された装置に用いられる処理用ガス
導入リングの詳細図、 第5図は第1図に示された装置によって作られる磁場強
度を示す曲線の説明図、 第6図は、共振コイルに対し法線方向の無線周波数電位
に備えるため第3図に示された回路を改善した回路図、 第7図は本発明の他の実施例に係わる共振コイルの選択
的な構成を示す斜視図である。 10……プラズマ処理システム 12……囲い部 13……支持載置面 14……アクセス部 16……上壁 18……絶縁シールド 19……内部 20……平面状コイル 22……中央タップ 24……外側タップ 30……RF発生器 32……同軸ケーブル 34……整合回路 36……主要コイル 38……第2ループ 40……ディスク 44……可変キャパシタ 46……付加キャパシタ 52……分配リング 54……高圧部 56……ノズル 58……中央部
───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 平2−235332(JP,A) 特開 昭62−63180(JP,A) 特開 昭63−174321(JP,A) 特開 昭61−59821(JP,A) 特開 平1−215025(JP,A) 特開 昭63−14862(JP,A) 特開 昭63−104332(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 C23F 4/00 H01L 21/205 H01L 21/31

Claims (27)

    (57)【特許請求の範囲】
  1. 【請求項1】少なくとも一部の境界が絶縁シールド、及
    び導体を含む囲い部材によって構成された内部空間を有
    するプラズマ処理チャンバと、 前記プラズマ処理チャンバの内部空間にある基板を支持
    する基板支持体であって、前記絶縁シールドが前記基板
    支持体に面する内部面を有するように配置された基板支
    持体と、 処理用ガスを前記プラズマ処理チャンバに供給するガス
    供給部と、 無線周波エネルギを前記絶縁シールドを経て前記プラズ
    マ処理チャンバの内部空間へと伝え、前記内部空間で前
    記処理用ガスを活性化して前記基板を処理するためのほ
    ぼ均一なプラズマに変えるための電気伝導コイルを有す
    る無線周波エネルギ源とを備え、 前記電気伝導コイルは、電気的に駆動される被駆動部を
    有し、前記被駆動部は、前記内部空間の横方向のサイズ
    より小さな横方向のサイズを有することを特徴とするプ
    ラズマ処理システム。
  2. 【請求項2】前記電気伝導コイルは、前記絶縁シールド
    の近傍に配置され、 前記プラズマ処理チャンバは、CVDプラズマ反応器或は
    プラズマエッチング反応器を有することを特徴とする請
    求項1に記載のプラズマ処理システム。
  3. 【請求項3】前記電気伝導コイルは、1回以上の巻数を
    もち、 前記電気伝導コイルは、前記基板を横切る方向にほぼ均
    一な磁場を生成することを特徴とする請求項1に記載の
    プラズマ処理システム。
  4. 【請求項4】前記プラズマ処理チャンバはその壁にポー
    トを有し、 前記絶縁シールドは前記壁を密閉するように前記ポート
    を覆っていることを特徴とする請求項1に記載のプラズ
    マ処理システム。
  5. 【請求項5】前記無線周波エネルギ源は、前記電気伝導
    コイルの被駆動部に接続される無線周波発生器を有する
    ことを特徴とする請求項1に記載のプラズマ処理システ
    ム。
  6. 【請求項6】前記電気伝導コイルの被駆動部は前記電気
    伝導コイルの内部タップと外部タップとの間にあり、 前記内部タップと外部タップとは前記絶縁シールドの外
    周の内側に配置されていることを特徴とする請求項1に
    記載のプラズマ処理システム。
  7. 【請求項7】前記電気伝導コイルは前記プラズマ処理チ
    ャンバに磁場を誘導し、 前記ガス供給部は、前記電気伝導コイルによって誘導さ
    れた磁場の最大強度の領域の周囲に前記処理用ガスを供
    給することを特徴とする請求項1に記載のプラズマ処理
    システム。
  8. 【請求項8】前記無線周波エネルギ源は、電位が前記基
    板支持体と前記電気伝導コイルとの間に印加されるよう
    に、無線周波エネルギを前記基板支持体に供給すること
    を特徴とする請求項1に記載のプラズマ処理システム。
  9. 【請求項9】前記プラズマ処理チャンバは真空チャンバ
    を有し、 前記電気伝導コイルは前記基板の露出表面に平行に配置
    された平面状コイルを有し、前記基板の露出表面はほぼ
    均一なプラズマに晒されることを特徴とする請求項1に
    記載のプラズマ処理システム。
  10. 【請求項10】前記電気伝導コイルの平面度は、ずれが
    前記電気伝導コイルの横方向サイズの0.2倍未満である
    ことを特徴とする請求項1に記載のプラズマ処理システ
    ム。
  11. 【請求項11】前記ガス供給部は前記内部空間に導入さ
    れた処理用ガスに流れのパターンができるように配列さ
    れたノズルを有することを特徴とする請求項1に記載の
    プラズマ処理システム。
  12. 【請求項12】前記ガス供給部は前記基板支持体の周囲
    を取り囲む複数のノズルを有することを特徴とする請求
    項1に記載のプラズマ処理システム。
  13. 【請求項13】前記電気伝導コイルの外周は、前記内部
    空間の外周の内側に位置することを特徴とする請求項1
    に記載のプラズマ処理システム。
  14. 【請求項14】前記電気伝導コイルは、平面領域内に前
    記プラズマを誘導することを特徴とする請求項1に記載
    のプラズマ処理システム。
  15. 【請求項15】前記電気伝導コイルは、前記内部空間の
    中心に向かってより強度が大きくなる磁場を生成するこ
    とを特徴とする請求項1に記載のプラズマ処理システ
    ム。
  16. 【請求項16】前記内部空間は複数の金属壁によって囲
    まれており、その1つの金属壁は、少なくとも一部に、
    前記絶縁シールドによって密閉されたアクセスポートを
    有することを特徴とする請求項1に記載のプラズマ処理
    システム。
  17. 【請求項17】前記ガス供給部はガス分配リングを有し
    ていることを特徴とする請求項1に記載のプラズマ処理
    システム。
  18. 【請求項18】前記電気伝導コイルは、螺旋状或は同心
    円ループ状に構成されていることを特徴とする請求項1
    に記載のプラズマ処理システム。
  19. 【請求項19】前記電気伝導コイルは電子の流れを誘導
    し、前記電子は前記基板に平行な平面に集められること
    を特徴とする請求項1に記載のプラズマ処理システム。
  20. 【請求項20】基板の露出表面上に層を蒸着し或はエッ
    チングを行なう方法であって、 前記基板を、前記基板に対向した絶縁シールド、及び導
    体を含む囲い部材によって少なくとも一部の境界が構成
    された内部空間を有するプラズマ処理チャンバに設けら
    れた基板支持体上に設置する設置工程と、 処理用ガスを処理ガス供給部から前記プラズマ処理チャ
    ンバ内に供給する供給工程と、 電気伝導コイルから前記絶縁シールドを経て前記プラズ
    マ処理チャンバ内に無線周波エネルギを伝えることによ
    り、ほぼ均一なプラズマが前記プラズマ処理チャンバ内
    に形成されるように、前記処理用ガスをプラズマ状態に
    活性化する活性化工程とを有し、 前記電気伝導コイルは、電気的に駆動される被駆動部を
    有し、前記被駆動部は、前記内部空間の横方向のサイズ
    より小さな横方向のサイズを有し、 前記基板の露出表面は前記プラズマの中におかれ、物質
    の層が前記露出表面に蒸着され或は前記露出表面がエッ
    チングされるように前記露出表面が反応することを特徴
    とする方法。
  21. 【請求項21】前記電気伝導コイルは、前記基板の露出
    表面に平行な平面領域に前記プラズマを誘導することを
    特徴とする請求項20に記載の方法。
  22. 【請求項22】前記電気伝導コイルは、前記基板の露出
    表面に平行に配置された平面状コイルを有することを特
    徴とする請求項21に記載の方法。
  23. 【請求項23】前記電気伝導コイルは、1回以上の巻数
    をもち、 前記電気伝導コイルは、前記基板を横切る方向に均一な
    磁場を生成することを特徴とする請求項20に記載の方
    法。
  24. 【請求項24】無線周波発生器が前記電気伝導コイルの
    内部及び外部タップを通して前記電気伝導コイルの被駆
    動部に接続されることを特徴とする請求項20に記載の方
    法。
  25. 【請求項25】前記電気伝導コイルは前記プラズマ処理
    チャンバに磁場を誘導し、 前記ガス供給部は前記磁場の最大強度の領域の周囲に前
    記処理用ガスを供給することを特徴とする請求項20に記
    載の方法。
  26. 【請求項26】電位が前記電気伝導コイルと前記基板支
    持体とを横切って印加されることを特徴とする請求項20
    に記載の方法。
  27. 【請求項27】少なくとも一部の境界が絶縁シールド、
    及び導体を含む囲い部材によって構成された内部空間を
    有するプラズマ処理チャンバと、 前記プラズマ処理チャンバの内部空間にある基板を支持
    する基板支持体であって、前記絶縁シールドが前記基板
    支持体に面する内部面を有するように配置された基板支
    持体と、 処理用ガスを前記プラズマ処理チャンバに供給するガス
    供給部と、 無線周波エネルギを前記絶縁シールドを経て前記プラズ
    マ処理チャンバの内部空間へと伝え、前記内部空間で前
    記処理用ガスを活性化して前記基板を処理するためのほ
    ぼ均一なプラズマに変えるための電気伝導コイルを有す
    る無線周波エネルギ源とを備え、 前記電気伝導コイルは、電気的に駆動される被駆動部を
    有し、前記被駆動部は、その外周部が、前記絶縁シール
    ドと前記囲い部材とを連結する部分の付近で、前記囲い
    部材に対向しないように寸法及び配置位置が定められて
    いることを特徴とするプラズマ処理システム。
JP02205302A 1989-08-14 1990-08-03 プラズマ処理装置、及び、蒸着或いはエッチングの方法 Expired - Lifetime JP3114873B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/393,504 US4948458A (en) 1989-08-14 1989-08-14 Method and apparatus for producing magnetically-coupled planar plasma
US393,504 1989-08-14

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP20525599A Division JP3224529B2 (ja) 1989-08-14 1999-07-19 プラズマ処理システム

Publications (2)

Publication Number Publication Date
JPH0379025A JPH0379025A (ja) 1991-04-04
JP3114873B2 true JP3114873B2 (ja) 2000-12-04

Family

ID=23554962

Family Applications (2)

Application Number Title Priority Date Filing Date
JP02205302A Expired - Lifetime JP3114873B2 (ja) 1989-08-14 1990-08-03 プラズマ処理装置、及び、蒸着或いはエッチングの方法
JP20525599A Expired - Lifetime JP3224529B2 (ja) 1989-08-14 1999-07-19 プラズマ処理システム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP20525599A Expired - Lifetime JP3224529B2 (ja) 1989-08-14 1999-07-19 プラズマ処理システム

Country Status (8)

Country Link
US (1) US4948458A (ja)
EP (1) EP0413282B1 (ja)
JP (2) JP3114873B2 (ja)
KR (1) KR100188076B1 (ja)
AT (1) ATE161357T1 (ja)
DE (1) DE69031820T2 (ja)
DK (1) DK0413282T3 (ja)
ES (1) ES2110955T3 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8662010B2 (en) 2002-06-19 2014-03-04 Mitsubishi Heavy Industries, Ltd. Plasma processing apparatus, plasma processing method, plasma film deposition apparatus, and plasma film deposition method

Families Citing this family (405)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3834984A1 (de) * 1988-10-14 1990-04-19 Leybold Ag Einrichtung zur erzeugung von elektrisch geladenen und/oder ungeladenen teilchen
US5421891A (en) * 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US6251792B1 (en) 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
EP0489407A3 (en) * 1990-12-03 1992-07-22 Applied Materials, Inc. Plasma reactor using uhf/vhf resonant antenna source, and processes
DE69230322T2 (de) * 1991-04-04 2000-07-06 Hitachi Ltd Verfahren und Vorrichtung zur Plasmabehandlung
JPH04362091A (ja) * 1991-06-05 1992-12-15 Mitsubishi Heavy Ind Ltd プラズマ化学気相成長装置
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US6518195B1 (en) 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5772832A (en) * 1991-06-27 1998-06-30 Applied Materials, Inc Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6171974B1 (en) 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
FR2681472B1 (fr) 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5880036A (en) * 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5397962A (en) * 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
US5334264A (en) * 1992-06-30 1994-08-02 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Titanium plasma nitriding intensified by thermionic emission source
WO1994006263A1 (en) * 1992-09-01 1994-03-17 The University Of North Carolina At Chapel Hill High pressure magnetically assisted inductively coupled plasma
US6194325B1 (en) 1992-09-08 2001-02-27 Applied Materials Inc. Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
KR100238627B1 (ko) * 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
USRE40963E1 (en) * 1993-01-12 2009-11-10 Tokyo Electron Limited Method for plasma processing by shaping an induced electric field
US6136140A (en) * 1993-01-12 2000-10-24 Tokyo Electron Limited Plasma processing apparatus
US5433812A (en) * 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5309063A (en) * 1993-03-04 1994-05-03 David Sarnoff Research Center, Inc. Inductive coil for inductively coupled plasma production apparatus
US5565114A (en) * 1993-03-04 1996-10-15 Tokyo Electron Limited Method and device for detecting the end point of plasma process
TW280083B (ja) * 1993-03-04 1996-07-01 Tokyo Electron Co Ltd
FR2707449B1 (fr) * 1993-07-05 1995-08-11 Cit Alcatel Réacteur à plasma pour un procédé de dépôt ou de gravure.
US5531834A (en) * 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
TW273067B (ja) * 1993-10-04 1996-03-21 Tokyo Electron Co Ltd
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
GB9321489D0 (en) * 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing
US5619103A (en) * 1993-11-02 1997-04-08 Wisconsin Alumni Research Foundation Inductively coupled plasma generating devices
WO1995015672A1 (en) * 1993-12-01 1995-06-08 Wisconsin Alumni Research Foundation Method and apparatus for planar plasma processing
JPH07161493A (ja) * 1993-12-08 1995-06-23 Fujitsu Ltd プラズマ発生装置及び方法
US5468296A (en) * 1993-12-17 1995-11-21 Lsi Logic Corporation Apparatus for igniting low pressure inductively coupled plasma
TW293983B (ja) 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5783492A (en) * 1994-03-04 1998-07-21 Tokyo Electron Limited Plasma processing method, plasma processing apparatus, and plasma generating apparatus
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
TW293231B (ja) * 1994-04-27 1996-12-11 Aneruba Kk
EP0680072B1 (en) 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US5587038A (en) * 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5540824A (en) 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5521351A (en) * 1994-08-30 1996-05-28 Wisconsin Alumni Research Foundation Method and apparatus for plasma surface treatment of the interior of hollow forms
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5503676A (en) * 1994-09-19 1996-04-02 Lam Research Corporation Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
ATE181637T1 (de) 1994-10-31 1999-07-15 Applied Materials Inc Plasmareaktoren zur halbleiterscheibenbehandlung
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5589737A (en) * 1994-12-06 1996-12-31 Lam Research Corporation Plasma processor for large workpieces
US5643639A (en) * 1994-12-22 1997-07-01 Research Triangle Institute Plasma treatment method for treatment of a large-area work surface apparatus and methods
US5591301A (en) 1994-12-22 1997-01-07 Siemens Aktiengesellschaft Plasma etching method
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US6039851A (en) * 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5556521A (en) * 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
EP0743671A3 (en) * 1995-05-19 1997-07-16 Hitachi Ltd Method and device for a plasma processing device
US5696428A (en) * 1995-06-07 1997-12-09 Lsi Logic Corporation Apparatus and method using optical energy for specifying and quantitatively controlling chemically-reactive components of semiconductor processing plasma etching gas
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5874704A (en) * 1995-06-30 1999-02-23 Lam Research Corporation Low inductance large area coil for an inductively coupled plasma source
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5731565A (en) 1995-07-27 1998-03-24 Lam Research Corporation Segmented coil for generating plasma in plasma processing equipment
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5663076A (en) * 1995-08-08 1997-09-02 Lsi Logic Corporation Automating photolithography in the fabrication of integrated circuits
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US5662768A (en) * 1995-09-21 1997-09-02 Lsi Logic Corporation High surface area trenches for an integrated ciruit device
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
EP0777267A1 (en) 1995-11-28 1997-06-04 Applied Materials, Inc. Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
US5936352A (en) * 1995-11-28 1999-08-10 Nec Corporation Plasma processing apparatus for producing plasma at low electron temperatures
JPH09180897A (ja) 1995-12-12 1997-07-11 Applied Materials Inc 高密度プラズマリアクタのためのガス供給装置
DE19548657C2 (de) * 1995-12-17 2003-10-09 Fraunhofer Ges Forschung Vorrichtung zur großflächigen Plasmaerzeugung
US5838529A (en) * 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5805408A (en) * 1995-12-22 1998-09-08 Lam Research Corporation Electrostatic clamp with lip seal for clamping substrates
US5669977A (en) * 1995-12-22 1997-09-23 Lam Research Corporation Shape memory alloy lift pins for semiconductor processing equipment
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6042901A (en) * 1996-02-20 2000-03-28 Lam Research Corporation Method for depositing fluorine doped silicon dioxide films
DE19606375A1 (de) * 1996-02-21 1997-08-28 Balzers Prozes Systeme Gmbh Plasmaquelle mit eingekoppelten Whistler- oder Helikonwellen
KR970064327A (ko) * 1996-02-27 1997-09-12 모리시다 요이치 고주파 전력 인가장치, 플라즈마 발생장치, 플라즈마 처리장치, 고주파 전력 인가방법, 플라즈마 발생방법 및 플라즈마 처리방법
JP3720901B2 (ja) * 1996-03-04 2005-11-30 アネルバ株式会社 プラズマ処理装置及びアンテナの製造方法
US5964949A (en) * 1996-03-06 1999-10-12 Mattson Technology, Inc. ICP reactor having a conically-shaped plasma-generating section
US5669975A (en) * 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
US5885423A (en) * 1996-03-29 1999-03-23 Lam Research Corporation Cammed nut for ceramics fastening
US5796066A (en) * 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
JP2943691B2 (ja) * 1996-04-25 1999-08-30 日本電気株式会社 プラズマ処理装置
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6368469B1 (en) * 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
KR100489918B1 (ko) * 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마발생및스퍼터링용코일
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
FR2748851B1 (fr) 1996-05-15 1998-08-07 Commissariat Energie Atomique Procede de realisation d'une couche mince de materiau semiconducteur
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5800619A (en) * 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
US5759280A (en) * 1996-06-10 1998-06-02 Lam Research Corporation Inductively coupled source for deriving substantially uniform plasma flux
CA2207154A1 (en) * 1996-06-10 1997-12-10 Lam Research Corporation Inductively coupled source for deriving substantially uniform plasma flux
US6888040B1 (en) 1996-06-28 2005-05-03 Lam Research Corporation Method and apparatus for abatement of reaction products from a vacuum processing chamber
JP2000514136A (ja) 1996-06-28 2000-10-24 ラム リサーチ コーポレイション 高密度プラズマ化学蒸着装置および方法
US6626185B2 (en) * 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US5798904A (en) * 1996-06-28 1998-08-25 Lam Research Corporation High power electrostatic chuck contact
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6209480B1 (en) 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US5942855A (en) * 1996-08-28 1999-08-24 Northeastern University Monolithic miniaturized inductively coupled plasma source
US6056848A (en) * 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
JP2001504160A (ja) 1996-09-30 2001-03-27 ラム リサーチ コーポレイション 基板ホルダ上へのポリマーの堆積を削減する装置
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
JP2929275B2 (ja) * 1996-10-16 1999-08-03 株式会社アドテック 透磁コアを有する誘導結合型−平面状プラズマの発生装置
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
US6308654B1 (en) 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW358964B (en) 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6033585A (en) * 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
TW434723B (en) * 1997-03-17 2001-05-16 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6030666A (en) * 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US6291313B1 (en) 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US6155909A (en) 1997-05-12 2000-12-05 Silicon Genesis Corporation Controlled cleavage system using pressurized fluid
US20070122997A1 (en) 1998-02-19 2007-05-31 Silicon Genesis Corporation Controlled process and resulting device
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6475353B1 (en) 1997-05-22 2002-11-05 Sony Corporation Apparatus and method for sputter depositing dielectric films on a substrate
US6027988A (en) * 1997-05-28 2000-02-22 The Regents Of The University Of California Method of separating films from bulk substrates by plasma immersion ion implantation
US6178920B1 (en) 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US7569790B2 (en) 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US7166816B1 (en) * 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US5869149A (en) * 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6369348B2 (en) 1997-06-30 2002-04-09 Applied Materials, Inc Plasma reactor with coil antenna of plural helical conductors with equally spaced ends
US6548382B1 (en) 1997-07-18 2003-04-15 Silicon Genesis Corporation Gettering technique for wafers made using a controlled cleaving process
US6103599A (en) * 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US5982100A (en) * 1997-07-28 1999-11-09 Pars, Inc. Inductively coupled plasma reactor
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6375810B2 (en) * 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US6028395A (en) * 1997-09-16 2000-02-22 Lam Research Corporation Vacuum plasma processor having coil with added conducting segments to its peripheral part
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
KR20010032498A (ko) * 1997-11-26 2001-04-25 조셉 제이. 스위니 손상없는 스컵쳐 코팅 증착
US7253109B2 (en) * 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
FR2773261B1 (fr) * 1997-12-30 2000-01-28 Commissariat Energie Atomique Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions
US6280579B1 (en) 1997-12-19 2001-08-28 Applied Materials, Inc. Target misalignment detector
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US5994236A (en) * 1998-01-23 1999-11-30 Ogle; John Seldon Plasma source with process nonuniformity improved using ferromagnetic cores
US6228176B1 (en) 1998-02-11 2001-05-08 Silicon Genesis Corporation Contoured platen design for plasma immerson ion implantation
US6051073A (en) * 1998-02-11 2000-04-18 Silicon Genesis Corporation Perforated shield for plasma immersion ion implantation
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
JP2972707B1 (ja) 1998-02-26 1999-11-08 松下電子工業株式会社 プラズマエッチング装置及びプラズマエッチング方法
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
US6418353B1 (en) * 1998-04-22 2002-07-09 Lsi Logic Corporation Automating photolithography in the fabrication of integrated circuits
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6197165B1 (en) 1998-05-06 2001-03-06 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6080287A (en) * 1998-05-06 2000-06-27 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6204607B1 (en) 1998-05-28 2001-03-20 Applied Komatsu Technology, Inc. Plasma source with multiple magnetic flux sources each having a ferromagnetic core
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
JP4044218B2 (ja) * 1998-08-28 2008-02-06 松下電器産業株式会社 プラズマ処理装置
US6074953A (en) * 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6355183B1 (en) 1998-09-04 2002-03-12 Matsushita Electric Industrial Co., Ltd. Apparatus and method for plasma etching
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US6213050B1 (en) 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6217718B1 (en) 1999-02-17 2001-04-17 Applied Materials, Inc. Method and apparatus for reducing plasma nonuniformity across the surface of a substrate in apparatus for producing an ionized metal plasma
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6237526B1 (en) 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6474258B2 (en) 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
KR100742549B1 (ko) * 1999-03-31 2007-07-25 램 리써치 코포레이션 가변 rf 커플링을 가지는 코일을 구비한 플라즈마 처리기
KR100794539B1 (ko) * 1999-03-31 2008-01-17 램 리써치 코포레이션 가변 rf 커플링을 가지는 코일을 구비한 플라즈마 처리기
US6229264B1 (en) 1999-03-31 2001-05-08 Lam Research Corporation Plasma processor with coil having variable rf coupling
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6319355B1 (en) 1999-06-30 2001-11-20 Lam Research Corporation Plasma processor with coil responsive to variable amplitude rf envelope
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6221740B1 (en) 1999-08-10 2001-04-24 Silicon Genesis Corporation Substrate cleaving tool and method
US6500732B1 (en) 1999-08-10 2002-12-31 Silicon Genesis Corporation Cleaving process to fabricate multilayered substrates using low implantation doses
US6263941B1 (en) 1999-08-10 2001-07-24 Silicon Genesis Corporation Nozzle for cleaving substrates
EP1212787B1 (en) 1999-08-10 2014-10-08 Silicon Genesis Corporation A cleaving process to fabricate multilayered substrates using low implantation doses
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6320320B1 (en) 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
JP2003514388A (ja) * 1999-11-15 2003-04-15 ラム リサーチ コーポレーション 処理システム用の材料およびガス化学剤
US6341574B1 (en) 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6302966B1 (en) 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
US6322661B1 (en) 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma
GB2355459B (en) * 1999-11-29 2001-09-26 Isis Innovation A dominant conditional lethal genetic system
US6377437B1 (en) 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US7067034B2 (en) 2000-03-27 2006-06-27 Lam Research Corporation Method and apparatus for plasma forming inner magnetic bucket to control a volume of a plasma
US20030010454A1 (en) * 2000-03-27 2003-01-16 Bailey Andrew D. Method and apparatus for varying a magnetic field to control a volume of a plasma
WO2001076326A1 (en) * 2000-03-30 2001-10-11 Tokyo Electron Limited Optical monitoring and control system and method for plasma reactors
US6514378B1 (en) 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6388383B1 (en) 2000-03-31 2002-05-14 Lam Research Corporation Method of an apparatus for obtaining neutral dissociated gas atoms
US6413359B1 (en) 2000-04-04 2002-07-02 K2 Keller Consulting Plasma reactor with high selectivity and reduced damage
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6531029B1 (en) 2000-06-30 2003-03-11 Lam Research Corporation Vacuum plasma processor apparatus and method
US6632322B1 (en) * 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6468388B1 (en) 2000-08-11 2002-10-22 Applied Materials, Inc. Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate
US6348126B1 (en) 2000-08-11 2002-02-19 Applied Materials, Inc. Externally excited torroidal plasma source
US6453842B1 (en) 2000-08-11 2002-09-24 Applied Materials Inc. Externally excited torroidal plasma source using a gas distribution plate
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US6410449B1 (en) 2000-08-11 2002-06-25 Applied Materials, Inc. Method of processing a workpiece using an externally excited torroidal plasma source
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US6551446B1 (en) 2000-08-11 2003-04-22 Applied Materials Inc. Externally excited torroidal plasma source with a gas distribution plate
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7479456B2 (en) 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US6494986B1 (en) 2000-08-11 2002-12-17 Applied Materials, Inc. Externally excited multiple torroidal plasma source
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
JP4557400B2 (ja) * 2000-09-14 2010-10-06 キヤノン株式会社 堆積膜形成方法
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6403322B1 (en) 2001-03-27 2002-06-11 Lam Research Corporation Acoustic detection of dechucking and apparatus therefor
US6528949B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Apparatus for elimination of plasma lighting inside a gas line in a strong RF field
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
US20020139477A1 (en) * 2001-03-30 2002-10-03 Lam Research Corporation Plasma processing method and apparatus with control of plasma excitation power
US6527912B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Stacked RF excitation coil for inductive plasma processor
US6583572B2 (en) 2001-03-30 2003-06-24 Lam Research Corporation Inductive plasma processor including current sensor for plasma excitation coil
US7096819B2 (en) * 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US20020170677A1 (en) * 2001-04-07 2002-11-21 Tucker Steven D. RF power process apparatus and methods
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US7571697B2 (en) * 2001-09-14 2009-08-11 Lam Research Corporation Plasma processor coil
US6597117B2 (en) 2001-11-30 2003-07-22 Samsung Austin Semiconductor, L.P. Plasma coil
US7282183B2 (en) * 2001-12-24 2007-10-16 Agilent Technologies, Inc. Atmospheric control in reaction chambers
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US7298091B2 (en) * 2002-02-01 2007-11-20 The Regents Of The University Of California Matching network for RF plasma source
US6783629B2 (en) 2002-03-11 2004-08-31 Yuri Glukhoy Plasma treatment apparatus with improved uniformity of treatment and method for improving uniformity of plasma treatment
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US8187377B2 (en) 2002-10-04 2012-05-29 Silicon Genesis Corporation Non-contact etch annealing of strained layers
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
FR2848336B1 (fr) 2002-12-09 2005-10-28 Commissariat Energie Atomique Procede de realisation d'une structure contrainte destinee a etre dissociee
TW200420201A (en) 2002-12-16 2004-10-01 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method
US6876155B2 (en) * 2002-12-31 2005-04-05 Lam Research Corporation Plasma processor apparatus and method, and antenna
US20040137158A1 (en) * 2003-01-15 2004-07-15 Kools Jacques Constant Stefan Method for preparing a noble metal surface
US7163602B2 (en) * 2003-03-07 2007-01-16 Ogle John S Apparatus for generating planar plasma using concentric coils and ferromagnetic cores
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
KR100513163B1 (ko) * 2003-06-18 2005-09-08 삼성전자주식회사 Icp 안테나 및 이를 사용하는 플라즈마 발생장치
FR2856844B1 (fr) 2003-06-24 2006-02-17 Commissariat Energie Atomique Circuit integre sur puce de hautes performances
FR2857953B1 (fr) 2003-07-21 2006-01-13 Commissariat Energie Atomique Structure empilee, et procede pour la fabriquer
JP2005056768A (ja) * 2003-08-06 2005-03-03 Canon Inc プラズマ処理装置及び方法
JP2005064033A (ja) * 2003-08-12 2005-03-10 Fujio Masuoka 半導体基板へのイオン注入方法
FR2861497B1 (fr) 2003-10-28 2006-02-10 Soitec Silicon On Insulator Procede de transfert catastrophique d'une couche fine apres co-implantation
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7109114B2 (en) * 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
CA2597623C (en) 2005-02-28 2015-07-14 Epispeed S.A. System and process for high-density,low-energy plasma enhanced vapor phase epitaxy
KR20060098235A (ko) * 2005-03-11 2006-09-18 삼성전자주식회사 반도체 제조 장치
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
KR100774521B1 (ko) * 2005-07-19 2007-11-08 주식회사 디엠에스 다중 안테나 코일군이 구비된 유도결합 플라즈마 반응장치
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
FR2889887B1 (fr) 2005-08-16 2007-11-09 Commissariat Energie Atomique Procede de report d'une couche mince sur un support
FR2891281B1 (fr) 2005-09-28 2007-12-28 Commissariat Energie Atomique Procede de fabrication d'un element en couches minces.
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US8454810B2 (en) 2006-07-14 2013-06-04 4D-S Pty Ltd. Dual hexagonal shaped plasma source
US8993410B2 (en) 2006-09-08 2015-03-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US9362439B2 (en) 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
US7811900B2 (en) 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
US8293619B2 (en) 2008-08-28 2012-10-23 Silicon Genesis Corporation Layer transfer of films utilizing controlled propagation
JP5590886B2 (ja) 2006-09-26 2014-09-17 アプライド マテリアルズ インコーポレイテッド 欠陥パシベーションのための高kゲート積層構造に対するフッ素プラズマ処理
FR2910179B1 (fr) 2006-12-19 2009-03-13 Commissariat Energie Atomique PROCEDE DE FABRICATION DE COUCHES MINCES DE GaN PAR IMPLANTATION ET RECYCLAGE D'UN SUBSTRAT DE DEPART
KR20090106617A (ko) * 2007-01-19 2009-10-09 어플라이드 머티어리얼스, 인코포레이티드 플라스마 함침 챔버
KR101118492B1 (ko) * 2007-02-16 2012-03-12 램 리써치 코포레이션 유도 코일, 플라즈마 발생 장치 및 플라즈마 발생 방법
US20090004873A1 (en) * 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
JP5329796B2 (ja) 2007-11-14 2013-10-30 株式会社イー・エム・ディー プラズマ処理装置
FR2925221B1 (fr) 2007-12-17 2010-02-19 Commissariat Energie Atomique Procede de transfert d'une couche mince
US20090151872A1 (en) * 2007-12-17 2009-06-18 Tugrul Samir Low cost high conductance chamber
TWI484576B (zh) 2007-12-19 2015-05-11 Lam Res Corp 半導體真空處理設備用之薄膜黏接劑
MY166000A (en) * 2007-12-19 2018-05-21 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
US20100066252A1 (en) * 2008-04-18 2010-03-18 The Regents Of The University Of California Spiral rf-induction antenna based ion source for neutron generators
US8330126B2 (en) 2008-08-25 2012-12-11 Silicon Genesis Corporation Race track configuration and method for wafering silicon solar substrates
US8425977B2 (en) * 2008-09-29 2013-04-23 Applied Materials, Inc. Substrate processing chamber with off-center gas delivery funnel
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
BRPI0924314B1 (pt) * 2009-02-10 2020-02-18 HELYSSEN Sàrl Aparelho para o processamento de plasma de área grande
US8329557B2 (en) 2009-05-13 2012-12-11 Silicon Genesis Corporation Techniques for forming thin films by implantation with reduced channeling
FR2947098A1 (fr) 2009-06-18 2010-12-24 Commissariat Energie Atomique Procede de transfert d'une couche mince sur un substrat cible ayant un coefficient de dilatation thermique different de celui de la couche mince
CN102666917A (zh) * 2009-11-30 2012-09-12 朗姆研究公司 一种带有成角度侧壁的静电卡盘
DE202010015933U1 (de) 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
JP5632626B2 (ja) * 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
JP5740203B2 (ja) * 2010-05-26 2015-06-24 東京エレクトロン株式会社 プラズマ処理装置及びその処理ガス供給構造
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US8826855B2 (en) 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
US9171702B2 (en) 2010-06-30 2015-10-27 Lam Research Corporation Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
JP5617817B2 (ja) 2011-10-27 2014-11-05 パナソニック株式会社 誘導結合型プラズマ処理装置及び誘導結合型プラズマ処理方法
CN103094038B (zh) 2011-10-27 2017-01-11 松下知识产权经营株式会社 等离子体处理装置以及等离子体处理方法
KR101383291B1 (ko) * 2012-06-20 2014-04-10 주식회사 유진테크 기판 처리 장치
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
JP6248562B2 (ja) * 2013-11-14 2017-12-20 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10515813B2 (en) * 2013-12-10 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for etching apparatus and etching-detection method
DE102013114093A1 (de) 2013-12-16 2015-06-18 Sentech Instruments Gmbh Plasmaquelle und Verfahren zur Erzeugung eines Plasmas
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US20200258718A1 (en) * 2019-02-07 2020-08-13 Mattson Technology, Inc. Gas Supply With Angled Injectors In Plasma Processing Apparatus
US20220289566A1 (en) 2019-08-07 2022-09-15 Qorvo Us, Inc. Anti-stiction enhancement of ruthenium contact

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4368092A (en) * 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
US4421898A (en) * 1981-12-21 1983-12-20 Exxon Research And Engineering Co. Sulfonated polymer and compositions thereof
US4557819A (en) * 1984-07-20 1985-12-10 Varian Associates, Inc. System for igniting and controlling a wafer processing plasma
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US4626312A (en) * 1985-06-24 1986-12-02 The Perkin-Elmer Corporation Plasma etching system for minimizing stray electrical discharges
US4668338A (en) * 1985-12-30 1987-05-26 Applied Materials, Inc. Magnetron-enhanced plasma etching process
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
EP0379828B1 (en) * 1989-01-25 1995-09-27 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8662010B2 (en) 2002-06-19 2014-03-04 Mitsubishi Heavy Industries, Ltd. Plasma processing apparatus, plasma processing method, plasma film deposition apparatus, and plasma film deposition method

Also Published As

Publication number Publication date
EP0413282B1 (en) 1997-12-17
EP0413282A3 (en) 1991-07-24
DE69031820D1 (de) 1998-01-29
KR910005733A (ko) 1991-03-30
US4948458A (en) 1990-08-14
JP3224529B2 (ja) 2001-10-29
KR100188076B1 (ko) 1999-06-01
ES2110955T3 (es) 1998-03-01
JP2000058297A (ja) 2000-02-25
DE69031820T2 (de) 1998-06-25
EP0413282A2 (en) 1991-02-20
ATE161357T1 (de) 1998-01-15
DK0413282T3 (da) 1998-08-24
JPH0379025A (ja) 1991-04-04

Similar Documents

Publication Publication Date Title
JP3114873B2 (ja) プラズマ処理装置、及び、蒸着或いはエッチングの方法
US5578165A (en) Coil configurations for improved uniformity in inductively coupled plasma systems
KR100238627B1 (ko) 플라즈마 처리장치
US5277751A (en) Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
JP3128239B2 (ja) クラスタツールのソフトエッチングモジュールおよびそのecrプラズマ発生装置
JPH05275383A (ja) 高周波誘導プラズマ処理システムおよび方法
WO1997002588A1 (en) Low inductance large area coil for an inductively coupled plasma source
JPH06283470A (ja) プラズマ処理装置
US20040037971A1 (en) Plasma processing apparatus and processing method
JP3254069B2 (ja) プラズマ装置
JP3881307B2 (ja) プラズマ処理装置
JP2928577B2 (ja) プラズマ処理方法およびその装置
JPS61213377A (ja) プラズマデポジシヨン法及びその装置
JP3294839B2 (ja) プラズマ処理方法
JP3973283B2 (ja) プラズマ処理装置及びプラズマ処理方法
US5470426A (en) Plasma processing apparatus
JPS60154620A (ja) マイクロ波プラズマ処理方法及び装置
KR100391063B1 (ko) 유도결합으로 보강된 축전결합형 플라즈마 발생장치 및플라즈마 발생방법
JP3077009B2 (ja) プラズマ処理装置
JPH07263188A (ja) プラズマ処理装置
JPH01184921A (ja) エッチング、アッシング及び成膜等に有用なプラズマ処理装置
JP3088504B2 (ja) マイクロ波放電反応装置
JP3205542B2 (ja) プラズマ装置
JP2920852B2 (ja) マイクロ波プラズマ装置
JP2000012294A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080929

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090929

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100929

Year of fee payment: 10

EXPY Cancellation because of completion of term