JPH09180897A - 高密度プラズマリアクタのためのガス供給装置 - Google Patents

高密度プラズマリアクタのためのガス供給装置

Info

Publication number
JPH09180897A
JPH09180897A JP8312196A JP31219696A JPH09180897A JP H09180897 A JPH09180897 A JP H09180897A JP 8312196 A JP8312196 A JP 8312196A JP 31219696 A JP31219696 A JP 31219696A JP H09180897 A JPH09180897 A JP H09180897A
Authority
JP
Japan
Prior art keywords
semiconductor
plasma reactor
ceiling
gas
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP8312196A
Other languages
English (en)
Inventor
Jon Mohn
モーン ジョン
Mei Chang
チャン メイ
Hung Raymond
ハン レイモンド
Kenneth S Collins
エス. コリンズ ケネス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH09180897A publication Critical patent/JPH09180897A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/914Differential etching apparatus including particular materials of construction

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】 【課題】 チャンバ壁にクオーツやセラミック等の消費
材料を排除し又は減らして、チャンバ壁近くのプラズマ
イオンの枯渇を防止し、且つ、高価なリアクタ部材がエ
ッチングにより消費されることを防止する。 【解決手段】 本発明は、プロセスチャンバを画するリ
アクタエンクロージャと、半導体天井部と、処理中にワ
ークピースを支持するチャンバ内部のベースと、チャン
バ内にプラズマ前駆体ガスを通行させるためのガス流入
システムと、チャンバ内にプラズマソースを結合させる
ための装置とを有するプラズマリアクタに具体化される
ものである。ガス流入システムは、平坦な基板の上の半
導体天井部を貫くガス流入ポート1組を有している。こ
れらガス流入ポートは、ウエハ中心の上に集中していて
もよく、及び/又は、ウエハ外縁の上にも配置されてい
てもよい。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、天井部(シーリン
グ)とワークピース支持体とを有し、この両者の間に、
処理しようとするワークピース、例えば半導体ウエハな
どを配置し、プロセスガスを天井部を通じて直接ワーク
ピースの上に供給する、プラズマリアクタに関する。
【0002】
【従来の技術】米国特許第4,948,458号に開示さ
れる誘導結合プラズマリアクタは、平坦なコイルを有し
ており、このコイルは、チャンバ天井部の上にあって、
処理しようとする半導体ウエハに面するため、ウエハの
表面の上に非常に均一なRF誘導場を与える。この目的
のため、リアクタチャンバのエバキュエーションを行う
ためにチャンバをシールする天井部は、コイルによるR
F誘導場に対して充分な透過性を有している必要があ
り、このため、クオーツ等の誘電体が用いられる。この
天井部は、クオーツ以外のその他の誘電体、例えば酸化
アルミニウム等でできていてもよいことに、注意すべき
である。しかし、酸化アルミニウム等のその他の材料
は、クオーツに比べてスパッタリングにより汚染物をよ
り多く発生する傾向にある。
【0003】プラズマエッチングプロセス中のポリマー
形成には、エッチャントとポリマーが微妙にバランスし
ていることを要するが、エッチャントの濃度は、典型的
には、適切なポリマー形成を阻害しないような減少のレ
ベルであるべきである。その結果、ウエハの外縁近くで
形成されたエッチャントイオン及びラジカルの大部分
は、ウエハの中心に到達するまでに消費されてしまい、
ウエハ中心の上方のエッチングのイオン濃度が更に減少
してしまう。このことにより、ウエハ中心近くでは、エ
ッチレイト(エッチング速度)が低くなり又はエッチン
グが停止してしまうことになる。
【0004】ウエハ外縁にはイオンが多いことの理由の
1つは、エッチャント前駆体ガスが側方から導入される
ことにより、エッチャントイオンやラジカルの分布が不
均一になり、側方に偏ることである。側部で発生するエ
ッチャントイオンやラジカルを形成するエネルギー電子
の多くが、ウエハ中心に到達する前に他の種と衝突して
失われてしまうため、エネルギー中心ではエッチャント
イオンの濃度が低減してしまう。エッチャントイオンが
ウエハの中心近くで比較的低くなることにより、ウエハ
の中心でポリマーの形成が速くなり、ポリマーの形成が
エッチングのプロセスに大きく勝ってしまいエッチング
を停止させてしまうことがあり、これは0.5ミクロン
よりも小さな立体形状サイズに対して顕著である。この
ようにエッチングの停止は、もっと大きなエッチング立
体形状の場合や、エッチング深さがもっと浅い場合や、
エッチング時間がもっと短い場合にも生じることがあ
る。
【0005】前述の事を逆に言えば、ウエハ外縁附近に
比較的豊富にあるエッチャントイオンやラジカルは、プ
ロセス条件の選択によっては、ポリマー形成を大きく妨
げるためエッチング選択性を弱めてしまい、ウエハ外縁
でのエッチレイトを非常に高くしてしまうことに加え、
ウエハ外縁近くにおいてエッチングがその下の層にまで
達してしまう。
【0006】前述の事より、ウエハエッジでのエッチン
グの突き抜け防止と、ウエハ中心でのエッチング停止の
防止とは両立せず、即ちこのことは、ウエハ全面へ適正
なエッチングプロセスを実現するための処理のパラメー
タは、非常に狭い範囲となることを意味している。ウエ
ハの外縁のオーバーエッチングを防止するため、プラズ
マ中におけるエッチャントイオンやラジカルの他の粒子
(例えば、ポリマー形成イオンやラジカル及びカーボ
ン)に対する相対的な濃度を下げればよいが、これに
は、ウエハ中心でエッチングの停止を生じさせるリスク
を伴う。逆に言えば、ウエハ中心でのエッチングの停止
を防止するためには、プラズマ中のエッチャントイオン
の濃度を上げればよいが、これには、ウエハ外縁附近に
下までの貫通や切り通し面を形成してしまうリスクが伴
う。従って、ウエハ全面を適正にエッチングするための
プロセスの範囲が非常に狭くなる。
【0007】
【発明が解決しようとする課題】平行板プラズマリアク
タにおいては、シリコン等のスカベンジャ物(掃除物)
を、リアクタチャンバ頂部の近傍又は頂部に導入するこ
とにより、プラズマ中のフリーな弗素の濃度の制御を可
能にしている。スカベンジャ物からのシリコン原子は、
物理的にエッチング(スパッタ)されたもの、化学的に
エッチングされたもの、あるいは、反応性イオンエッチ
ングされたものが、弗素イオンやラジカルと結合して、
プラズマ中の弗素イオンやラジカルの濃度を低下させ
る。シリコン粒子がスカベンジャ物から物理的又は化学
的にエッチングされる速度を制御することにより、プラ
ズマ中のフリーな弗素イオンやラジカルの量は、上述の
狭いプロセス条件の範囲に適合するような所望の値に調
節(例えば低減)される。化学的又は物理的なエッチン
グの速度(エッチレイト)の調節は、スカベンジャ物の
温度を制御することにより、且つ/又は、スカベンジャ
物へのイオン衝突の速度を調節することにより、可能で
ある。RF電力又は加熱のいずれかにより、スカベンジ
ャ物の表面を活性化(シリコン原子をプラズマ中に放
つ)してもよい。スカベンジャ物の温度をポリメライゼ
ーションが生じる温度よりも低い温度に保持すれば、ス
カベンジャ物の表面にポリマーが蓄積し、そこからのシ
リコン原子の一切の放出を妨げてしまう。スカベンジャ
物の温度を凝縮温度よりも高くすることにより、係る表
面にはポリマーポリマーがなく、シリコン原子のプラズ
マ中への放出が可能となる。更に温度を上げれば、スカ
ベンジャ物の表面からシリコン原子がプラズマ中へ放た
れる速度も上昇する。この方法によりフリーな弗素の濃
度を下げることは、エッチレイトを下げるだけでなく、
ポリマーの炭素含有量を上げてしまう効果を生じさせる
ため、エッチングプロセスにおいてポリマーがウエハ外
縁のパンチスルーに対しての保護の効果は上がるもの
の、エネルギー中心でエッチングが停止するリスクが上
昇する。逆に言えば、フリーな弗素の濃度が上昇するこ
とにより、エッチレイトが上昇するだけでなく、ポリマ
ーの炭素含有率を枯渇させるため、エッチングプロセス
でのポリメライゼーションの効果も低下し、ウエハ中心
でエッチングが停止するリスクは下がるものの、ウエハ
外縁でのパンチスルーに対する保護が低下してしまう。
【0008】
【課題を解決するための手段】従って、狭いプロセス条
件の範囲に適合させるためには、概説的には、チャンバ
内のスカベンジャ物の温度を調節することにより、フリ
ーな弗素と、プラズマポリマー形成イオン及びラジカル
との、相対濃度を、制御してやればよい。
【0009】本発明の目的は、チャンバ壁にクオーツや
セラミック等の消費材料を排除し又は減らして、チャン
バ壁近くのプラズマイオンの枯渇を防止し、且つ、高価
なリアクタ部材がエッチングにより消費されることを防
止することを目的とする。
【0010】本発明の更なる目的は、エッチング前駆体
ガス及びポリマー前駆体ガスの分布を均一にすることに
より、リアクタ内でのウエハ外縁と相対的なウエハ中心
の処理の均一性を向上することにある。具体的には、チ
ャンバの半径方向の最適な場所、例えばチャンバ中心及
び/又はチャンバの外縁(どこから導入するにせよ、ウ
エハ表面全体のプロセスの均一性を最適化する方向)か
ら、これらガスを導入することを目的とする。例えば、
エッチレイトがウエハ中心で低くウエハ外縁で高い場
合、ガスを導入する場所は、天井部の外縁近くではなく
天井部の中心からの方が好ましい。
【0011】(本発明の摘要)本発明は、プロセスチャ
ンバを画するリアクタエンクロージャと、半導体天井部
と、処理中にワークピースを支持するチャンバ内部のベ
ースと、チャンバ内にプラズマ前駆体ガスを通行させる
ためのガス流入システムと、チャンバ内にプラズマソー
スを結合させるための装置とを有するプラズマリアクタ
に具体化されるものである。ガス流入システムは、平坦
な基板の上の半導体天井部を貫くガス流入ポート1組を
有している。これらガス流入ポートは、ウエハ中心の上
に集中していてもよく、及び/又は、ウエハ外縁の上に
も配置されていてもよい。中心のガス供給トップは、半
導体天井部の外面上でシールされ、中心ガス供給トップ
と半導体ウィンドウ電極との間にガスマニホールドを形
成し、このガスマニホールドはガス流入ポートを取り囲
む。
【0012】具体例の1つでは、半導体バッフルがマニ
ホールド全体に伸びて、マニホールドを1対のサブ−マ
ニホールドに分け、このサブ−マニホールドの一方はガ
ス供給トップに隣接し、他方はガス流入ポートに隣接
し、半導体バッフルの中を通る複数のガス供給管が、ガ
ス流入ポートから分枝する。
【0013】
【発明の実施の形態】図1に示されるように、プラズマ
リアクタは、シールされた円筒状のチャンバ100を有
しており、チャンバ100は、円筒状側壁105と、デ
ィスク形状の半導体天井部110と、ベース115とに
より包囲されている。半導体天井部110は、シリコン
や、シリコンカーバイドなどのシリコン含有材料や、そ
の他の半導体材料で形成されており、この材料は、シリ
コンを必ずしも含んでいる必要はない。ここにおける好
ましい具体例では、半導体天井部110はシリコンであ
る。ウエハペデスタル120は、リアクタで処理しよう
とする半導体ウエハないしワークピース125を支持す
る。ウエハペデスタル120は、1995年7月26日
に米国に出願の、Kenneth S. Collinsらの米国特許出
願"PLASMA SOURCE WITH AN ELECTRONICALLY VARIABLE D
ENSITY PROFILE"に開示されているような静電チャック
組立体であってもよい。バイアスRF電力ジェネレータ
130からバイアス電位が、従来から既知のインピーダ
ンス整合回路を介してウエハペデスタル120に印加さ
れる。インピーダンス整合回路は、例えば、Collinsら
の米国特許第5,392,018号及びCollinsらの米
国特許第5,187,454号に開示されている。シリ
コン天井部110にガス流入口137が貫通しており、
これにより、CXYガス等のエッチャント前駆体ガスな
どのプロセスガスを通行させる。真空ポンプ140によ
り排出を行って、チャンバ100を所望の圧力にする。
天井部110の上で絶縁アンテナホルダ147内に保持
されるオーバーヘッド誘導コイルアンテナ145が、も
う1つの従来からのインピーダンス整合回路155を介
してプラズマソースRF電力ジェネレータ150に接続
され、天井部110を介してチャンバ内にRF電力を誘
導結合させる。
【0014】図1のプラズマリアクタの利点の1つは、
天井部110の中のガス流入ホール137からプロセス
ガスをウエハ表面全面に一様に分布させることができる
事である。もう1つの利点は、シリコン天井部110か
らスパッタされ得る材料が、二酸化珪素エッチングプロ
セスに適合しないことはないという点である。関連した
利点は、シリコン天井部110からスパッタされた材料
は、弗素に対するスカベンジャであるという点である。
更に、シリコン天井部の熱膨張係数が、シリコンから成
るその他のチャンバ構造部材の熱膨張係数と適合すると
いう利点がある。
【0015】ここで前に述べたように、プラズマエッチ
ングプロセスを向上する1つの因子が、エッチング前駆
体ガスの分布をウエハ中心とウエハ外縁の間で差をつけ
てしまう。このようなガス分布における非均一性は、ガ
スの導入が、従来のプラズマリアクタでウエハペデスタ
ルの側部からなされることによって生じ、そのため、ウ
エハ外縁附近にはエッチャント前駆体ガスが比較的多量
にあり、ウエハ中心近くでは比較的少量である。この問
題の解決のため、図2(a)、(b)、(c)、(D)
及び(e)のシリコン天井部110の具体例が提案され
る。これは、シリコン天井部110内に作製され、前駆
体ガスをウエハの上にウエハ中心に対して対称的な方法
で導入させる中心ガス供給システムを有している。
【0016】図2(d)に示されるように、厚さ1イン
チのシリコン天井部110は、深さ薬0.33インチ
(約7.6mm)、直径約3.5インチ(約89mm)
の座ぐり開口部900を上面に有している。好ましく
は、図2(e)に示されるように、だいたい22個の対
称的に配置された直径0.20インチ(約5mm)のホ
ール(穴)910が、座ぐり開口900の上面から天井
部110の厚さの約80%まで形成される。小さな直径
0.030インチ(約0.76mm)のホール920
が、大きい方のホール910と同心となるように、レー
ザードリル及び/又は超音波ドリルにより、シリコン天
井部110の底面から開けられている。図2(a)及び
(b)に示されるように、ディスク状のガス供給トップ
930が、シリコン天井部110の上面の座ぐり開口9
00の中に、ぴったりとフィットしている。ガス供給ト
ップ930の底面は、深さ約0.01インチ(約0.2
5mm)、直径約3.3インチ(約84mm)の座ぐり
開口部940を有しており、これがガス散布マニホール
ドを成す。中心ガス供給パイプ950が、ガス供給トッ
プ930の中心を通って、座ぐり開口部940で開いて
いる。ガス供給トップの底部外縁コーナーは、中に切り
込まれたステップ960を有しており、ステップ960
は、深さ0.143インチ(約3.6mm)で放射方向
内向きに0.075インチ(約1.9mm)伸びてい
る。ステップ960は、外周ポケットを形成し、ステッ
プ960の寸法と合う寸法を有する環状の(テフロンな
ど)弗素樹脂シール970が、この外周ポケットの中へ
とぴったりとフィットする。好ましくは、弗樹脂シール
970は、図2(c)に示されるように断面がU字型で
ある。弗素樹脂シール970内部の環状のスチールワイ
ヤスティフナー975により、シール970にシールが
与えられる。
【0017】ここでは、図2(a)〜(e)の中心ガス
供給シリコン天井部110によってウエハ全体のエッチ
ャント前駆体ガスの分布が均一にされることにより、リ
アクタの中心対エッジのエッチングの均一性が向上する
という利点がある。
【0018】図3(a)は、ガス供給トップをプラズマ
更に有効に保護する中心ガス供給シリコン天井部の別の
好ましい具体例を例示する。この具体例では、シリコン
天井部110の座ぐり開口部900の外周エッジに沿っ
て、ショルダー980が与えられる。シリコンウエハ9
85が、ショルダー980の上に載っており、シリコン
天井部110の上面の座ぐり開口900とガス供給トッ
プ930の底部の座ぐり開口940との2つの部屋に分
ける。シリコンウエハ985は、自身の中に開けられた
複数のガス供給ホール986を有しており、これら全て
は、シリコン天井部110のガス供給ホール910とは
横方向に入れ替りに配置されている。この方法でシリコ
ンウエハ985を間に置くことにより、ホール920を
通って上向きに拡散するプラズマイオンに対して、ガス
供給トップ930への直線の経路が排除される。この特
徴により、トップ930がプラズマにより攻撃されるこ
とが防止される。トップ930は、半導体又は誘電体
等、RF誘導場を著しく弱めることがないような材料
か、あるいは、その直径が誘導アンテナのセンターヌル
(center null)の直径よりも小さければステンレス鋼等
の導電体であってもよい。
【0019】図2(a)〜(e)の具体例及び3(a)
の具体例では、ガス供給ホール910、920は、天井
部110の中心附近に集まっている。しかし、いずれの
具体例でも、ホール910、920は、所望により、中
心から外れて外縁まで分布していてもよく、あるいは、
中心の代りに外縁の周りに集まっていてもよい。これ
は、図3(b)に例示されており、ここでは、ガス供給
ホール910、920が、天井部110の外縁の周りに
分布している。
【0020】ここまで本発明について、好ましい具体例
を参照して具体的に説明してきたが、本発明の範囲を離
れることなく変形や変更を行うことが可能である事が、
理解されよう。
【0021】
【発明の効果】以上詳細に説明したように、本発明のプ
ラズマリアクタにより、チャンバ壁にクオーツやセラミ
ック等の消費材料を排除し又は減らして、チャンバ壁近
くのプラズマイオンの枯渇が防止され、且つ、高価なリ
アクタ部材がエッチングにより消費されることが防止さ
れる。
【0022】また、エッチング前駆体ガス及びポリマー
前駆体ガスの分布を均一にすることにより、リアクタ内
でのウエハ外縁と相対的なウエハ中心の処理の均一性が
向上する。
【図面の簡単な説明】
【図1】本発明の具体例であるプラズマリアクタであっ
て、貫通するガス供給オリフィスを有する中心ガス供給
シリコン天井部の上にある平坦なコイルアンテナを有す
るリアクタの断面図である。
【図2】(a)〜(e)とも、中心ガス供給シリコン天
井部の部品の斜視図又は断面図であり、(a)はガス供
給トップの底面からの斜視図、(b)はガス供給トップ
の環状シールの底部からの斜視図、(c)はシールの断
面図、(d)はシリコン天井部の上からの斜視図であっ
てガス供給ホール(穴)を示す図であり、(e)は
(d)のシリコン天井部の部分断面図である。
【図3】(a)は、シリコンウエハバッフルにより分け
られた1対のガスプレナムを有する、中心ガス供給シリ
コン天井部の別の具体例の断面図であり、(b)は、ま
た別の具体例の(a)と同様の断面図である。
【符号の説明】
100…チャンバ、105…側壁、110…半導体天井
部、115…ベース、120…ウエハペデスタル、12
5…ワークピース、130…バイアスRF電力ジェネレ
ータ、135…インピーダンス整合回路、137…ガス
流入口、140…真空ポンプ、900…座ぐり開口部、
910…ホール、930…ガス供給トップ、940…座
ぐり開口部、950…中心供給パイプ、960…ステッ
プ、970…弗素樹脂シール、975…スチールワイヤ
スティフナー、980…ショルダー、985…シリコン
ウエハ。
フロントページの続き (72)発明者 メイ チャン アメリカ合衆国, カリフォルニア州, サラトガ, コルト ド アルゲロ 12881 (72)発明者 レイモンド ハン アメリカ合衆国, カリフォルニア州, バーケット ドライヴ 1282 (72)発明者 ケネス エス. コリンズ アメリカ合衆国, カリフォルニア州, サン ノゼ, ナイトシェイヴン ウェイ 165

Claims (13)

    【特許請求の範囲】
  1. 【請求項1】 ワークピースを処理するためのプラズマ
    リアクタであって、 プロセスチャンバを画するリアクタエンクロージャと、 前記チャンバ内にあり処理中に前記ワークピースを支持
    するための、ベースと、 前記リアクタエンクロージャに備えられた、前記ベース
    の上にある半導体天井部と、 前記プロセスチャンバにプラズマソース電力を結合させ
    るための装置と、を備えるプラズマリアクタ。
  2. 【請求項2】 前記半導体天井部が、プラズマ前駆体ガ
    スを前記チャンバ内へと通行させるガス流入システムを
    備える請求項1に記載のプラズマリアクタ。
  3. 【請求項3】 前記ガス流入システムが、前記天井部の
    中にあり前記チャンバの中へと開いている複数のガス流
    入ポートを備える請求項1に記載のプラズマリアクタ。
  4. 【請求項4】 前記ワークピースが平坦な基板であり、
    前記半導体天井部が、前記平坦な基板と略平行で且つ前
    記平坦な基板の上にある、前記リアクタエンクロージャ
    の天井部分を備える請求項1に記載のプラズマリアク
    タ。
  5. 【請求項5】 前記プロセスチャンバにプラズマソース
    電力を結合させるための前記装置が、前記天井部分の上
    にあり前記半導体天井部を介して前記平坦な基板に面す
    る、誘導アンテナを備える請求項4に記載のプラズマリ
    アクタ。
  6. 【請求項6】 前記誘導アンテナが、前記平坦な基板の
    面に平行な、平坦なコイルである請求項5に記載のプラ
    ズマリアクタ。
  7. 【請求項7】 前記天井部分が、平坦なディスク形状で
    ある請求項4に記載のプラズマリアクタ。
  8. 【請求項8】 更に、 前記半導体天井部の外面上でシールされる中心ガス供給
    トップと、 前記中心ガス供給トップと前記半導体天井部との間に形
    成され前記ガス流入ポートを取り囲むガスマニホールド
    と、を備える請求項1に記載のプラズマリアクタ。
  9. 【請求項9】 更に、 前記マニホールド全体に伸びて前記マニホールドを2つ
    のサブ−マニホールドに分ける半導体バッフルであっ
    て、サブ−マニホールドの一方は、前記中心ガス供給ト
    ップに隣接し、前記サブ−マニホールドの他方は前記ガ
    ス流入ポートに隣接する、前記半導体バッフルと、 前記半導体バッフルの中を通り、前記ガス流入ポートか
    ら分枝する、複数のガス供給路と、を備える請求項8に
    記載のプラズマリアクタ。
  10. 【請求項10】 前記半導体天井部が、シリコンを含有
    する材料で作られる請求項1に記載のプラズマリアク
    タ。
  11. 【請求項11】 前記半導体天井部が、弗素に対するス
    カベンジャである請求項1に記載のプラズマリアクタ。
  12. 【請求項12】 前記ガス流入ポートが、前記半導体天
    井部の中心部分に限られる請求項3に記載のプラズマリ
    アクタ。
  13. 【請求項13】 前記ガス流入ポートが、前記半導体天
    井部の外縁部分の近くに配置される請求項3に記載のプ
    ラズマリアクタ。
JP8312196A 1995-12-12 1996-11-22 高密度プラズマリアクタのためのガス供給装置 Withdrawn JPH09180897A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US57076495A 1995-12-12 1995-12-12
US08/570764 1995-12-12

Publications (1)

Publication Number Publication Date
JPH09180897A true JPH09180897A (ja) 1997-07-11

Family

ID=24280972

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8312196A Withdrawn JPH09180897A (ja) 1995-12-12 1996-11-22 高密度プラズマリアクタのためのガス供給装置

Country Status (4)

Country Link
US (2) US6027606A (ja)
EP (1) EP0779645A2 (ja)
JP (1) JPH09180897A (ja)
KR (1) KR970052615A (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11126699A (ja) * 1997-10-21 1999-05-11 Tokyo Electron Yamanashi Ltd プラズマ処理装置
JP2000068255A (ja) * 1998-08-21 2000-03-03 Tokyo Electron Ltd プラズマ用電極およびその製造方法
JP2000073188A (ja) * 1998-08-28 2000-03-07 Matsushita Electric Ind Co Ltd プラズマ処理装置
US6274502B1 (en) 1998-02-26 2001-08-14 Matsushita Electronics Corporation Method for plasma etching
KR100504614B1 (ko) * 1999-09-23 2005-08-03 램 리서치 코포레이션 반도체 처리를 위한 가스 분산장치
JP2008311297A (ja) * 2007-06-12 2008-12-25 Mitsubishi Materials Corp プラズマ処理装置用電極板、その製造方法及びプラズマ処理装置

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
DE19727857C1 (de) * 1997-06-30 1999-04-29 Fraunhofer Ges Forschung Plasmarektor mit Prallströmung zur Oberflächenbehandlung
EP0908923B1 (en) * 1997-10-10 2003-04-02 European Community Apparatus to produce large inductive plasma for plasma processing
US6221202B1 (en) * 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
DE69940260D1 (de) * 1999-09-29 2009-02-26 Europ Economic Community Gleichmässige Gasverteilung in einer grossflächige Plasma-Behandlungs-Vorrichtung
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
KR100501778B1 (ko) * 2001-03-28 2005-07-20 동경 엘렉트론 주식회사 플라즈마 처리 장치
US20030042227A1 (en) * 2001-08-29 2003-03-06 Tokyo Electron Limited Apparatus and method for tailoring an etch profile
US20030188685A1 (en) * 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
JP5257917B2 (ja) * 2006-04-24 2013-08-07 株式会社ニューパワープラズマ 多重マグネチックコアが結合された誘導結合プラズマ反応器
CN104821269B (zh) * 2006-05-22 2017-05-10 吉恩株式会社 感应耦合等离子体反应器
US8377213B2 (en) * 2008-05-05 2013-02-19 Applied Materials, Inc. Slit valve having increased flow uniformity
JP5457109B2 (ja) 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
RU2529633C1 (ru) * 2013-03-27 2014-09-27 Общество с ограниченной ответственностью "ЭСТО-Вакуум" Устройство для плазмохимического травления
CN111029254B (zh) * 2019-12-26 2023-03-21 苏州科阳光电科技有限公司 一种干法刻蚀方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5392018A (en) * 1991-06-27 1995-02-21 Applied Materials, Inc. Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits
US5187454A (en) * 1992-01-23 1993-02-16 Applied Materials, Inc. Electronically tuned matching network using predictor-corrector control system
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
GB9321489D0 (en) * 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11126699A (ja) * 1997-10-21 1999-05-11 Tokyo Electron Yamanashi Ltd プラズマ処理装置
US6274502B1 (en) 1998-02-26 2001-08-14 Matsushita Electronics Corporation Method for plasma etching
US6409877B1 (en) 1998-02-26 2002-06-25 Matsushita Electronics Corporation Apparatus and method for plasma etching
JP2000068255A (ja) * 1998-08-21 2000-03-03 Tokyo Electron Ltd プラズマ用電極およびその製造方法
JP2000073188A (ja) * 1998-08-28 2000-03-07 Matsushita Electric Ind Co Ltd プラズマ処理装置
KR100504614B1 (ko) * 1999-09-23 2005-08-03 램 리서치 코포레이션 반도체 처리를 위한 가스 분산장치
JP2008311297A (ja) * 2007-06-12 2008-12-25 Mitsubishi Materials Corp プラズマ処理装置用電極板、その製造方法及びプラズマ処理装置

Also Published As

Publication number Publication date
US6193836B1 (en) 2001-02-27
EP0779645A2 (en) 1997-06-18
KR970052615A (ko) 1997-07-29
US6027606A (en) 2000-02-22
EP0779645A3 (ja) 1997-09-17

Similar Documents

Publication Publication Date Title
JPH09180897A (ja) 高密度プラズマリアクタのためのガス供給装置
US5242539A (en) Plasma treatment method and apparatus
US6036878A (en) Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6572732B2 (en) Parallel-plate electrode plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6054013A (en) Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US8038834B2 (en) Method and system for controlling radical distribution
JP4352234B2 (ja) リアクタ組立体および処理方法
US7922862B2 (en) Plasma processing apparatus, electrode plate for plasma processing apparatus, and electrode plate manufacturing method
US6652711B2 (en) Inductively-coupled plasma processing system
KR102116474B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP5055114B2 (ja) プラズマドーピング方法
US5389197A (en) Method of and apparatus for plasma processing of wafer
US7223448B2 (en) Methods for providing uniformity in plasma-assisted material processes
US8342121B2 (en) Plasma processing apparatus
US7744720B2 (en) Suppressor of hollow cathode discharge in a shower head fluid distribution system
CN113838730B (zh) 气体遮挡环、等离子体处理装置及调控聚合物分布的方法
JPH0845846A (ja) スパッタリング方法及びスパッタリング装置
JP3247249B2 (ja) プラズマ処理装置
EP0512677B1 (en) Plasma treatment method and apparatus
JP3164188B2 (ja) プラズマ処理装置
JP3192352B2 (ja) プラズマ処理装置
JPH07106300A (ja) 静電チャック装置
JPH0831751A (ja) プラズマ処理装置
KR20240037737A (ko) 기판 처리 장치 및 기판 처리 방법
JP2002329708A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20040203