JP4352234B2 - リアクタ組立体および処理方法 - Google Patents

リアクタ組立体および処理方法 Download PDF

Info

Publication number
JP4352234B2
JP4352234B2 JP2003566884A JP2003566884A JP4352234B2 JP 4352234 B2 JP4352234 B2 JP 4352234B2 JP 2003566884 A JP2003566884 A JP 2003566884A JP 2003566884 A JP2003566884 A JP 2003566884A JP 4352234 B2 JP4352234 B2 JP 4352234B2
Authority
JP
Japan
Prior art keywords
flow
processing chamber
gas
assembly
exhaust
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003566884A
Other languages
English (en)
Other versions
JP2005517295A (ja
Inventor
キンナード デイビッド
フェリス デイビッド
Original Assignee
アクセリス テクノロジーズ インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アクセリス テクノロジーズ インコーポレーテッド filed Critical アクセリス テクノロジーズ インコーポレーテッド
Publication of JP2005517295A publication Critical patent/JP2005517295A/ja
Application granted granted Critical
Publication of JP4352234B2 publication Critical patent/JP4352234B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、基板を処理するためのリアクタ組立体に関し、より詳しくは、ガスおよび/または反応物をリアクタ組立体に流入および流出させる分配システムに関する。
多くの半導体製造工程において、リアクタ組立体の処理室には、ガス分配器を通じて処理用ガスが導入される。そのガスからプラズマを生成することができ、基板上の構造物のエッチングや、基板上への材料膜の堆積等が実施される。処理中に発生するガス状の副産物は、排気システムを介して処理室から排気される。エッチング工程において、構造物の形状及びサイズの基板全体に渡る均一性は、特にエッチングされる構造物のサイズ及び間隔が小さくなると、基板全体に渡るガス状化学種の分布に影響される。同様に、成膜工程において、堆積される膜の膜厚および組成は、基板表面全体に渡るガス状化学種の分布に応じてばらつく可能性がある。
軸流(axial flow)リアクタ組立体では、ガスおよび/またはガス状の反応性化学種が基板全体に均一に分配されない場合が多く、その結果、エッチングされた構造物の形状やサイズ、堆積された膜の膜厚等にばらつきが生じる。軸流リアクタ組立体には、通常、軸対称の吸気口が含まれ、この吸気口は、処理される基板に対して上方かつ同軸に、すなわち基板の真上に配置されている。したがって、ガスおよび/または反応物は、基板表面に対して垂直に流れ、続いて、ほぼ基板表面を横断する方向に放射状の流動パターンが生じる。これによって、基板表面にガスおよび/または反応物を作用させる際に、基板表面上の処理からの排出流と流入ガスの流れとが混合するため、流れの不規則性および非均一性を招くおそれがある。
非均一性を最小限に抑えるために、処理室には、基板の上流に配置されたガス分配システムが使用されてきた。ガス分配システムでは、通常、専用のプレートが使用されており、このプレートは、解析的に予測することが困難であるため、主として試行錯誤的な実験的方法によって設計されてきた。例えば、従来、専用のバッフルプレートが使用され、基板表面におけるガスおよび/または反応性化学種の分布の均一性を改善することを目的として、通常、吸気口に近接させて配置されている。しかしながら、基板の上流に配置されたバッフルプレートおよび/またはガス分配プレートを使用することの問題の1つは、反応性化学種が再結合する可能性があることである。処理用ガスの流れの近くに配置されるこれらのプレートによって追加される表面は、反応性化学種の再結合の要因となり得る大面積を与える。反応性化学種の再結合によって、全体的な処理効率が低下し、それによって、処理時間が増大してスループットが低下する。反応性化学種の再結合を防止するには、吸気口または吸気口の近くに配置されるバッフルプレートおよび/またはガス分配プレートには、石英、アルミナ、他のセラミックス、硬質酸化皮膜のような非反応性のコーティングを備えた専用のアルミニウム合金等の、動作環境に対して不活性な特定の材料を使用する必要がある。これらの材料を使用することによって、リアクタ組立体の全体的なコストおよび複雑性が増大する。さらに、基板および/または高温のプラズマガスによるバッフルプレートおよび/またはガス分配プレートの加熱を防止するために、アルミニウムを主成分とする合金のようないくつかの専用の合金には、通常、冷却用の特別な改良が施されており、このような改良は、バッフルプレート自体に組み込まれるか、または、追加装置として追加される。バッフルプレートの加熱によって、後続の基板が、処理室の加熱装置すなわちランプアレーや加熱チャック等ではなく、バッフルプレートに蓄積されたエネルギーによって加熱されるため、処理室内の基板温度制御にロスが生じるおそれがある。また、バッフルプレートおよび/またはガス分配プレートの使用によって、処理室内部の容積が増大し、特に冷却装置または冷却処理を使用する場合、外形寸法を増大する必要がある。加えて、容積の増大によってガスの滞留時間が増大し、これは、例えばバルクのフォトレジスト剥離工程のような反応律速(reaction rate limited)ではない工程において非生産的なことであり、処理時間の増大を招く。
基板全体のガスの分布は、処理室の天井または壁から延在する複数のノズルを通じてガスを供給することによって改善することもできる。しかし、セラミックス製の天井または壁を有する処理室を、それらを貫通するノズルを備えるように製造することは困難である。酸化アルミニウムやシリコン等の多結晶セラミック材料からなるセラミック壁は、脆性材料であり、セラミックスを破壊または損傷することく貫通孔を加工することは難しい。さらに、例えば無線周波(RF)誘導コイルのような、通常はセラミック壁の近くに配置される他の構成要素によって、セラミック壁を貫通するガスノズルをリアクタ組立体の全体的なサイズ(高さ)を増大させることなく配置できるスペースが減少する。したがって、処理室の壁に余分な貫通孔を加工することなく、または、リアクタの吸気口と基板表面との間にガス分配機構および、存在する場合は、冷却装置を追加することなく、処理室内のガスの均一な分布を与えるガス分配システムを有する処理室に対する要望がある。
処理室内のガスの分布は、排気管すなわちガスの出口の位置および対称性によっても影響される。軸対称に配置された排気管は、基板表面に沿って非対称な流速を発生させ、非均一性の要因となる可能性がある。さらに、基板の直径が300mmおよびそれ以上になると、対応して増大する処理室の容積および基板の表面積によって、基板の表面全体に渡って処理用ガスを均一に分配することがさらに困難になる。
ガス分配器の一部が金属からなり、処理室内の励起されたプラズマシース内に配置される場合には、別の問題が発生する。金属成分によって局所的なエネルギーの摂動が生じ、これによって、基板表面に沿ってプラズマエネルギーのばらつきが生じる。さらに、プラズマ種の多くは金属を化学的に侵食し、基板上に堆積される汚染粒子を発生させる。例えば、アルミニウム製のガス分配器は、ハロゲン含有プラズマによって急速に侵食される。したがって、一般に、ガス分配器の金属部分は、金属面に対してセラミックコーティングを追加することにより侵食から保護する必要があり、それによって、リアクタ組立体のコスト及び複雑性が増大する。加えて、より均一なプラズマ分布を形成するために、プレートは、プラズマから電気的に絶縁する必要がある。このガス分配方式では、材料およびこれらの問題を解決するために要するエンジニアリング時間の両方において、大幅にコストが増大する。
本発に係るリアクタ組立体は、ベースユニットと、該ベースユニットの空洞部に配置され、基板の受け入れが可能な表面を有する支持体を含むチャック組立体と、前記ベースユニットに結合され、頂壁、底壁、およびそれらから延在する側壁を含む処理室と、前記処理室の、前記側壁から選択された1つの側壁に設けられた第1の開口部に連通し、吸気端部と、ガスおよび/または反応物の前記処理室への流れを横方向に伸ばすために前記吸気端部から前記第1の開口部に向かって幅が増大する三角形状の整流部を含む吸気マニホールド組立体と、前記処理室の、前記側壁から選択された1つの側壁に対向する側壁に設けられた第2の開口部に連通し、排気端部と、前記第2の開口部から前記排気端部に向かって幅が減少する三角形状の排気受入部とを含む排気マニホールド組立体とを含み、前記ガスおよび/または反応物は、前記吸気マニホールド組立体を通って流量制限器を介することなく処理室へ流れ、かつ、前記排気マニホールド組立体は、前記排気受入部の開口部に装着された流量制限器を介して前記処理室の前記第2の開口部に連通して、前記ガスおよび/または反応物の当該開口部を通じた前記処理室から前記排気受入部への流れを制限することを特徴とする
前記リアクタ組立体で使用するための吸気マニホールド組立体は、円筒形の導管を含む吸気端部と、該吸気端部に連通する第1の開口端、および第2の開口端を含む整流部とを備え、前記整流部の幅は、前記第1の開口端から前記第2の開口端に向かって増大し、かつ、前記吸気端部から当該整流部を通じて流れる流体を横方向に伸ばすように作用する。
本発明に係る処理方法は、ガスおよび/または反応性化学種を処理室を通じて流動させるための処理方法であって、前記ガスおよび/または反応性化学種の前記処理室への層流を、前記処理室に連通する開口端に向かって幅が増大する三角形状の整流部により基板の表面に平行な平面付近で横方向に伸ばして、流量制限器を介することなく前記処理室へ流すステップと、前記ガスおよび/または反応性化学種の前記処理室からの流れを、流量制限器が装着された開口部により前記処理室と連通し、かつ、前記開口部から排気側に向かって幅が減少する三角形状の排気受入部によって、前記流量制限器によって制限しつつ前記基板の表面に平行な平面付近で排気するステップとを含む。
上述した特徴およびその他の特徴は、図面および以下の詳細な説明によって例示される。いくつかの図を通じて、同様の要素には同様の符号を付して説明する。
本明細書には、ガスおよび/または反応性化学種を基板全体に渡って均一に流動させるためのリアクタ組立体および処理方法が開示される。ガスおよび/または反応性化学種は、基板表面によって定まる平面に対してほぼ平行な層流パターンをなして、基板を横断して流れる。その結果、基板表面に対してガスおよび/または反応性化学種が均一に作用する流動パターンが生じる。本リアクタ組立体で使用される処理室の容積は、先行技術の処理室とくらべて比較的小さく、これによって、反応性化学種の滞留時間が短縮し、反応速度が増大する。本リアクタ組立体は、ガスおよび/または反応物の流れの基板全体に渡る均一性を改善することが望まれている処理、例えばプラズマアッシングまたはエッチング処理、CVD等で使用することができる。プラズマアッシングおよびエッチングのために適用可能なリアクタ組立体には、ダウンストリーム処理で使用されるリアクタ組立体だけでなく、ICP、CCP、および二極型エッチング処理で使用されるリアクタ組立体が含まれる。
リアクタ組立体中に反応性化学種を発生させるために使用されるガスの組成は、化学気相成長法または物理気相成長法によって基板上に材料を堆積するか、または、基板から材料をエッチングするか、または、処理室の壁またはリアクタ組立体中に配置された他の構成要素に堆積した汚染を除去するかに応じて決まる。例えば、基板上にSiO2を堆積させるための典型的なガスには、SiH4やSiCl22のようなシリコン源ガスとO2のような酸素源ガス、または、Si(OC254のようなシリコンおよび酸素の両方の供給源となるガスが含まれる。別の例として、Si34を堆積させるためのガスには、SiH4とNH3またはN2が含まれる。典型的なエッチング用ガスには、BCl3、Cl2,HCl、SF6、CF4、およびCHF3が含まれる。物理気相成長法による処理では、アルゴンのような非反応性のガス、または、非反応性ガスと反応性ガスとの混合物が使用される。本リアクタ組立体および処理方法は、特定のガスおよび/または反応性化学種によって限定されるものではない。
図1〜図3を参照すると、基板12を処理するための例示的なリアクタ組立体10が示されている。リアクタ組立体10は、概略的には、ベースユニット14、チャック組立体20、処理室40、吸気マニホールド組立体60、および排気マニホールド組立体80を含んでいる。図1には、さらに、光源組立体100が示されており、この使用は任意に選択できる。これらの構成要素を形成するための材料には、金属、セラミックス、ガラス、ポリマー、複合材料、及び、これらの材料の少なくとも1つを含む組合せが含まれる。例えば、適切な金属には、陽極処理アルミニウムおよび/またはステンレス鋼が含まれる。適切なセラミック材料には、炭化ケイ素、または酸化アルミニウムが含まれる。本発明を説明するために、例えばウエハのような基板12を処理するためのリアクタ組立体10を使用するが、リアクタ組立体10は、当業者にとって明らかなように、フラットパネルディスプレイ、回路基板、液晶ディスプレイ等の他の基板を処理するために使用してもよいことに留意されたい。
図4に示すように、ベースユニット14は、底壁16と底壁から延在する側壁17とを含み、空洞部18が形成されている。一実施形態では、ベースユニット14の底壁16は、さらにコネクタ、ピン、またはスロット等の機械的結合手段を含み、例えば垂直に積層された組立体として使用するために、ベースユニット14(およびリアクタ組立体10)を第2のリアクタ組立体に装着するものである。
図5〜図8には、例えば静電チャック組立体、重力チャック組立体等のような、チャック組立体20が示されている。当業者にとって周知のように、重力チャック組立体では、基板を支持体に固定するための手段として重力が使用され、基板がその重量以外の手段によって支持体の表面に強制的に接触することはない。チャック組立体20は、ベースユニット14の空洞部18に配置される。チャック組立体20は、概略的には、支持体22、環状絶縁リング24、およびリフトピン組立体機構25を含んでいる。環状絶縁リング24は、支持体22の底面とベースユニット14との間をシールするように配置される。
支持体22は平面状の表面を有し、処理中に基板12がその表面上に配置される。支持体22の平面状の表面は、外周ピン23を含んでいてもよい。好適な実施形態では、支持体22は固定されており、回転しない。支持体22は、好ましくは、ガス移送孔26およびそれらを通じて延びる通路28を含み、例えばヘリウム等のような熱伝達ガスがガス移送孔26および/または通路28を通過できるようにして、基板12と支持体22の表面との間の熱伝達率を増大させる。ガス移送孔26および/または通路28は、基板12の裏面側を真空にするために使用することもでき、これによって、基板の弾性変形等により基板12の底面と支持体22の表面との間の接触点が増加する。真空吸着を使用すると、基板12と支持体22の表面との間の接触点の増加によって、基板12が処理温度に到達する速度が増大する。この場合、ガス移送孔26および/または通路28は、好ましくは真空引きライン30に連結され、真空引きラインは、処理室分離弁や流量制御弁等(図示せず)の下流側に接続される。例えばバルクのフォトレジスト除去工程では、エッチングレートのような反応速度は、通常、処理温度の関数であるため、有利なことに、処理温度に到達する時間の短縮によって、このような処理における全体的な処理時間が短縮される。
支持体22に通路31(図7)を加工するかまたは一体に成形してもよく、これによって、冷却システムからの流体を循環させて基板12のさらなる温度制御を実施することができる。この方式では、流体は、通路31に連通する冷却管32を通じて循環する。支持体22内には、処理温度を上昇させることができる抵抗加熱体34(図7)を一体に成形してもよく、バルクのフォトレジストの剥離またはエッチング工程を実施するときなどに、装置のスループットを増大させるために使用することができる。支持体22は、好ましくは基板12の形状に対応する形状を有し、また、好ましくは、約30°Cから約300°Cの動作範囲が可能である。好適な実施形態では、支持体22の動作温度は、加熱および冷却機能を有するPID(proportional integral derivative:比例、積分、微分)コントローラを使用したフィードバックまたは閉ループ制御システムを介して変動可能である。コントローラは、例えば、必要に応じて支持体22中の加熱体34に対する電流の供給と通路31に対する冷却流体(空気または水)の供給とを切り替えるものである。PIDコントローラへのフィードバックは、図8に示すバネで付勢された熱電対35のような温度測定装置を使用して、処理中に基板12の温度を測定することにより提供される。バネ33は、熱電対35が基板12の裏面との接触を維持するように、熱電対35と連動している。あるいは、支持体22の温度は、加熱体34に供給される電流を調整し、また、処理中の適切な時点で支持体22に形成された通路31を通じて冷却流体(空気または水)を流動させることによって、開ループ処理で(すなわち、フィードバック装置を使用せずに)制御することもできる。これらの方法は、単に例示的なものである。これらの方法によって、図20に示すように、基板12を一定の温度に維持することができる。図20では、閉ループ制御の使用により処理中の温度が約120°Cに維持されている。支持体20は、好ましくは、処理用ガスによる侵食に対して耐性を有する金属、例えば陽極処理アルミニウムの酸化皮膜を備えたアルミニウムからなる。
リフトピン機構25は、支持体22の下側に配置され、処理の間にリフトピン孔36を通じてリフトピン37を駆動するための空気圧シリンダ等を含んでいる。有利なことに、リフトピン機構25は、ベースユニット14の空洞部18内にほぼ閉じ込められるため、所望の場合、複数のリアクタ組立体を垂直に積層することができる。リフトピン機構25を作動させるために必要な空気圧ラインおよび他の必要な配管類は、好ましくは、ベースユニット14の側壁から選択された1つに配置されている。
処理室40は、ベースユニット14に結合されている。図9により明確に示すように、処理室40は、頂壁42とそこから延在する側壁44を含む。処理室40は、好ましくは、動作環境に対して不活性な物質から形成される。動作環境には、処理用ガス、反応物、反応副産物が含まれるが、それらに限定されるものではない。好適な実施形態では、頂壁42は側壁から取り外し可能である。
一実施形態では、頂壁42はほぼ透明な材料から形成される。これによって、例えば赤外線、紫外線、可視光線等の光を処理室40内に照射するために、任意選択の光源組立体100を頂壁42の上方に配置することができる。例えば、紫外線の場合には、頂壁42は、好ましくは石英のような材料から選択され、約100nmから約400nm、より好ましくは約130nmから約256nmの紫外線に対してほぼ透明なものである。
処理室40の側壁44には、複数の開口部が含まれる。1つの開口部46(第3の開口部)は、処理室40の内外に基板12を移送するための寸法に設定される。開口部48、50(第1および第2の開口部)は、径方向に対向する二つの側壁に形成され、後述するように、それぞれ吸気マニホールド組立体50および排気マニホールド組立体80に連通している。基板を処理室40の内外に移送するための開口部46は、開口部48、50を含む側壁に隣接している。側壁には、例えばプラズマアッシング処理の終了点を監視するための光学ポート、処理中に発生したガス種を分析するための質量分析器用開口部等の周知の目的のために、他の開口部を配置してもよい。
吸気マニホールド組立体60は、処理室40の側壁44から選択された1つの側壁に装着されており、図10〜図12に示すように、処理室40の開口部48(第1の開口部)を通じてガスおよび/または反応物を導入するために、整流部64に連通する吸気端部62を含んでいる。整流部64の上方には、取り外し可能なカバー65(図11、図12)が配置されている。整流部64は、1つ以上の構成要素から形成されていてもよい。吸気端部62は、円筒形の導管として示されているが、他の形状を使用してもよい。吸気端部62は、ガスおよび/または反応物を処理室40に導入するために、プラズマ発生器等(図示せず)から延びる導管の吐出口に連通している。整流部64は、吸気端部62から横方向外側に広がって、処理室40に連通する。本実施形態において、整流部64は三角形をなす。一実施形態では、整流部64が備える流路は、図11に示すように、一端において吸気端部62の直径によって定まる開口部(第1の開口端)の高さ(H1)から、開口部68(第2の開口端)によって定まる高さ(H2)まで、徐々に先細りになっている。開口部68の周りには凹部70が形成されてバッフルプレート(適切な例は図15〜図18に示されている)が装着可能になっており、参考例として、この使用任意に選択できる。以上の構成により、ガスおよび/または反応物は、整流部64によって定まる開口部68を通過して、処理室40内に流入することができる。本実施形態において、吸気マニホールド組立体60は、バッフルプレートを含まない。
整流部64は、ガスおよび/または反応物の流れを、それが吸気端部62から処理室40へと流れる際に、横方向に伸ばすように作用する。後に詳述するように、その結果、基板表面によって定まる平面に対して略平行な方向に、すなわち、基板表面と同一平面内を流れる流動パターンが生じる。ガス速度が基板の中央部から外縁部まで一様である結果、処理の均一性が改善されると共に、意外なことに、反応性が増大する。
好適な実施形態では、整流部64の幅方向の中点は、基板12に揃えられている、または基板12と同一平面内にある。言い換えれば、図3に示すように、吸気マニホールドの整流部64の幅方向の中点から排気マニホールドの排気受入部82の幅方向の中点に引いたラインYは、好ましくは、チャック組立体20の長軸に一致し、あるいは、好ましくは、基板12をその中心軸Pに沿って2等分する。整流部64の垂直方向の中点は、好ましくは、支持体22の表面と処理室40の頂壁42との間の距離の中点にある。
排気マニホールド組立体80は、吸気マニホールド組立体60に対して径方向に対向する側壁44に装着され、図13および図14に示すように、排気受入部82と排気端部84とを含んでいる。排気受入部82は、側壁44の開口部50に連通し、横方向内向きに延びて、ガスおよび/または反応物の流れと共に処理中に生成された任意の副産物を基板表面12によって定まる平面に対して略平行に流すように、排気端部84に連通している。排気受入部82は、好ましくは三角形状を有しているが、他の形状を使用してもよい。排気受入部82の上方にはカバー83が配置されている。排気受入部82の開口部86の回りには凹部88が配置され、好ましくは、例えばバッフルプレート等の流量制限器90を受け入れる寸法に形成されている。排気端部84は円筒形の導管、すなわち標準的な真空処理室で通常使用される排気管の形状として図示されているが、他の形状を使用してもよい。
流量制限器90は、好ましくは、ガス、反応物、および/または副産物の排気マニホールド組立体80を通じた流れを制限するための1つ以上の通路94を有するプレート92を含む。例示的な流量制限器90が図15から18に示されているが、これらに限定されるものではない。好ましくは、通路94は複数の円形の開口部からなるが、例えば、縦溝、楕円、正方形状の通路、これらの形状の少なくとも1つを含む組合せ等の、他の形状を使用してもよい。所望の用途に応じて、プレート92は平面状であってもまたは曲率を有していてもよい。好適な実施形態では、流量制限器90には、通路94が、プレートの選択された半分側、すなわち、プレートの高さ寸法の約1/2以下に制限された領域に配置されている。ここで、プレートの長さ寸法は、高さ寸法よりも大きい(例えば、図15参照)。これによって、流量制限器90を、通路94が基板面の上方に配置されるように装着するか、あるいは、180度回転させて、処理室40からの排出流が基板面の下側から流出するように装着することができる。より好ましい実施形態では、最下部の通路または通路の配列は、基板表面と同一平面内、すなわち基板表面によって定まる平面上またはその上方にある。本発明を理論によって限定することを意図するものではないが、流量制限器90は、各通路を通過するガス流速度がほぼ同一になるような、多孔膜と同様に機能するものと考えられる。
流量制限器90により排気マニホールド組立体80へのガス、反応物、および/または副産物の流れを制限することによって、処理室40の内部と排気受入部82との間に圧力差が生じる。この圧力差が存在することによって、基板表面全体に渡るプラズマの均一性が改善することが分かった。好ましくは、流量制限器90を横切る圧力差は、約6.665Pa(50ミリトル)よりも大きく、より好ましくは約39.99Pa(300ミリトル)よりも大きく、さらに好ましくは約66.65Pa(500ミリトル)よりも大きい。
有利なことに、流量制限器90は、処理室40の下流側で、すなわち反応性化学種の基板12との反応後に使用されるため、反応性化学種の再結合に関する問題は解消される。流量制限器90は下流側に配置されるため、流量制限器を陽極処理アルミニウム等から製造することができる。陽極処理アルミニウム等の使用は、例えば石英、アルミナ、セラミックス、硬質酸化皮膜のような非反応性のコーティングを備えた専用のアルミニウム合金等の、反応性化学種の再結合を防止するために使用される(上流側の)材料に比べて、比較的安価である。流量制限器90は、好ましくは、凹部88に機械的に装着されている。
リアクタ組立体20の動作中に、図示しない移送ロボットのエンドエフェクタ(end effector)等を使用して、開口部46を通じて処理室40の内外に基板12が移送される。ロボットのエンドエフェクタは、チャック組立体20の上昇したリフトピン37上に基板12を配置する。次いで、ロボットのエンドエフェクタが処理室から後退し、その後、リフトピン37が下降する。このようにして、基板12は支持体22と接触するように配置される。一実施形態では、基板12が支持体の表面に近付くと、すなわち、リフトピン機構がリフトピン37を後退させると、好ましくは高真空弁が開き、支持体22中の孔26および通路28を通じて基板12の裏面に粗引き圧(foreline pressure)が作用する。基板の移送時における処理室40内の通常の圧力に応じて、基板12を横切る圧力差を発生させることができ、それによって、支持体22の輪郭に沿って僅かな弾性変形が生じる。このようにして、基板12と支持体22との熱接触が改善される。その結果、好ましくは、基板温度の上昇速度を、約20°C/秒にまで増大させることができる。処理室40が所望の処理圧力に近付くと、基板12を横切る圧力差は最小値にまで減少する。
次いで、処理室40の上流側のプラズマ管等で、マイクロ波、RFコイルプラズマアプリケータ等によって、ガスおよび/または反応物すなわちプラズマが生成される。このプラズマは、吸気マニホールド組立体60を通じて処理室40に放出される。あるいは、プラズマは、ICPまたはCCPタイプの処理用の処理室内で発生させることができる。例えば、ICP源は、誘導コイルのような適切なハードウェアを含み、このハードウェアは、処理室40の頂壁42の近くに取付けられる。平行平板タイプのリアクタの場合には、頂壁42を上部電極組立体に置き換え、チャック組立体20を、環状絶縁リング24によってリアクタ組立体の残りの部分から電気的および熱的に絶縁して、下部電極組立体として使用する。
プラズマは粘性流体として記述することができ、処理室40にプラズマが流入すると、放物型の層流が発生する。ここで、最大のガス速度(Vmax)は流動パターンの中央部に現れ、最小のガス速度(V=0)は、流動パターンの最外縁部、すなわち、吸気マニホールド組立体60の吸気端部62の壁に沿って現れる。プラズマが吸気マニホールド組立体60の整流部64に流入すると、図19に示すようなほぼ放物型の層流パターンを維持しながらガスの中心線速度は減衰し始める。次いで、プラズマは処理室40に流入し、基板表面12を横断して流れる。反応排出流は、吸気マニホールド組立体60に対して径方向に対向する排気マニホールド組立体80を通じて処理室から排出され、それによって、基板表面12を横断する一定の層流が供給される。基板の断面に沿った各点における層流中の速度、圧力、および他の流体特性は、比較的一定に維持されることが分かった。このようにして、基板表面におけるガスおよび/または反応性化学種の滞留時間が均一に維持される。さらに、吸気マニホールド組立体60と排気マニホールド組立体80とは対称的に配置されており、横断流の均一性が向上する。
図19は、吸気マニホールド組立体60の異なるサイズの吸気開口部62に対して、ガス速度分布を示した図である。直径35mmの導管を有する吸気端部62では、直径22mmの導管と比較して、基板全体に渡る速度の均一性が増大する。中央部と外縁部とのガス速度の差は、管径が大きくかつ処理圧力が低くなると、2.5分の1に減少する。この結果は、ガス吸気用の開口部を大きくして処理圧力を低くすることが、一般に、処理の非均一性を低減するために役立つことを意味する。さらに、低い処理圧力と流量制限器90とを併用することによって、処理室40内にプレナム効果(plenum effect)が生じて中心線ガス速度が減少する。この併用によって、処理室40内での反応物の空間分布が改善され、流量制限器90が備える通路に到達すると、Y方向(図3)の速度がほぼ均一になる。106.64Pa(0.8トル)〜199.95Pa(1.5トル)の範囲の処理圧力および毎分2.5〜3.5標準リットルの質量流量を使用すると、処理室40内の滞留時間が驚くほど短縮され、反応面からの排出流の迅速な除去に役立つと共に、流量制限器の直前の領域における反応物の希薄化が最小限に抑えられる。
リアクタ組立体10は、特定の半導体製造装置に限定されるものではなく、無線周波(radio frequency)エネルギー、マイクロ波エネルギー、または他の高周波エネルギー源を個別にまたは組み合わせて使用してプラズマを発生するプラズマ発生装置に適用することができる。処理室40内の動作圧力は、好ましくは約13.3Pa(100ミリトル)から約399.9Pa(3トル)、より好ましくは、26.6Pa(200ミリトル)から約266.6Pa(2トル)、さらに好ましくは、66.65Pa(500ミリトル)から約199.95Pa(1.5トル)である。さらに、処理室40は、用途に応じて追加の機能を含んでいてもよい。例えば、石英の窓が組み付けられていてもよく、ウエハの近くにUV光源が配置されていてもよい。このような非柱状光源は、バルク剥離によるフォトレジストの除去を促進することが知られているUVエキシマレーザーと同様の波長を有していてもよく、この場合、マイクロ波プラズマによって生成された反応性ガスと併用することができる。さらに、フォトレジスト剥離工程の前または後に光を照射することは、残滓の除去およびイオン注入処理されたレジストの除去に対しても有利である。処理室40は、非常に柔軟な処理プラットフォームを提供するため、オーバーヘッド型RF源、光学ポート、ガス分析器、追加の光源等も、個別にまたは組み合わせて使用することができる。
以下の実施例は、説明のために呈示されるものであり、本発明の範囲を限定するものではない。
(実施例1)
本実施例では、横断流(cross flow)リアクタ組立体を使用したプラズマアッシャーにおいて、バルク剥離によるフォトレジスト除去処理を実施し、同様の用途のために構成された従来の軸流リアクタ組立体と比較した。基板は、フォトレジストが塗布されて、バルクのフォトレジスト除去処理にかけられた。軸流プラズマリアクタ組立体には、GESプラズマアッシャーおよびMCUプラズマアッシャーが含まれ、これらは、いずれもアクセリス・テクノロジーズ・コーポレーション(Axcelis Technologies Corporation)から市販されている。横断流リアクタ組立体には、前述したように排気マニホールド組立体中に配置された流量制限器が使用されている。使用された流量制限器は、図15に示す流量制限器と同様の円形通路を有する平板状プレートであった。この流量制限器は、排気マニホールド80の凹部88に、円形通路の下側の配列が基板表面と同一平面内にあるように、通路のパターンを処理室40の頂部方向に向けて装着された。基板温度は120°Cおよび270°Cに比較的一定に維持された。
横断流リアクタ組立体を使用した処理には、199.95Pa(1.5トル)の圧力および1500Wのマイクロ波電力において、3200sccmの酸素、300sccmのフォーミングガス(窒素中に5%の水素)を流動させることが含まれる。270°Cにおけるフォトレジストの収縮による寄与は、この温度のアッシングレートから除かれている。MCUリアクタ組立体およびGESリアクタ組立体における処理方法は、最高性能を発揮するように最適化した。表1にアッシングレートを示す。
Figure 0004352234
横断流リアクタ構成を使用したバルク剥離によるフォトレジスト除去工程では、MCU軸流リアクタおよびGES軸流リアクタと比べてアッシングレートが増大したことに示されているように、意外なことに、フォトレジストとの表面反応のために有効な反応性化学種の数が増加している。アッシングレートは、同様の処理条件、処理用ガス、フォトレジスト、および基板の種類を使用した軸流処理室構成と比較して、約2倍以上であった。横断流リアクタで見られる反応速度は、例えばCF4または他のフッ素含有化合物のような、反応速度を増大するために一般にO2と共に使用されるフッ素処理用の化合物を使用した軸流リアクタにおいて通常見られる反応速度よりも驚くほど大きい。有利なことに、横断流処理室構成における処理の均一性は、軸流リアクタ組立体とほぼ同等であった。
有利なことに、図20に示す120°Cのアッシング処理におけるウエハ温度プロファイルに示されるように、横断流処理室を使用することによって、基板温度を制御しながら、従来の軸流リアクタよりも高速に反応を進行させることができる。横断流構成では、処理室の容積が比較的小さいため、滞留時間を最小限に抑えることができる。さらに、ガス吸気口においてバッフルプレートを使用しないため、ガスに接触する表面積およびウエハの上流の圧力変動が減少することによって、反応性化学種の再結合の影響を有利に低減することができる。他の利点には、バッフルプレートを備えた軸流リアクタで通常見られるウエハの寄生加熱、すなわち、いわゆる「最初のウエハ(first wafer)」効果の解消、従来の軸流リアクタよりも高い剥離速度、設計が簡素であることによる製造コストの低減、吸気ガス分配システムにおいて石英、またはアルミニウム合金とコーティングが不要であること、サイズおよび縦方向の寸法の低減による占有面積の低減が含まれるが、これらに限定されない。このリアクタ組立体には汎用性があり、様々な用途で容易に使用することができる。処理室の頂壁が取り外し可能であることによって、処理室の内部の修理、洗浄等を容易に実施できる。さらに、透明な頂壁を使用することによって、光源を使用することが可能になる。また、前述したように、1つまたは複数のリアクタ組立体を垂直に積層することができ、それによって、占有面積に及ぼす影響を最小限に抑えて複数の基板を処理することが可能となる。
以上、本発明を好適な実施形態に関連して説明してきたが、当業者であれば、本発明の範囲を逸脱することなく様々な変更および本発明の構成要素と同等物との置き換えが可能であることは、理解するであろう。加えて、本発明の基本的範囲を逸脱することなく、本発明の教示に従って特定の状況または材料に応じた多くの修正が可能である。したがって、本発明は、本発明の実施のために考えられる最良の態様として開示された特定の実施形態に限定されるものではなく、添付請求項の範囲に含まれるすべての実施形態を含むものである。
図1は、例示的なリアクタ組立体の部分透視図であり、ベースユニット、チャック組立体、処理室、吸気マニホールド組立体、排気マニホールド組立体、および任意に選択される光源組立体が図示されている。 図2は、リアクタ組立体の分解透視図である。 図3は、リアクタ組立体の上平面図である。 図4は、ベースユニットの透視図である。 図5は、チャック組立体の分解透視図である。 図6は、チャック組立体の上平面図である。 図7は、図6のA−A線に沿ったチャック組立体の断面図である。 図8は、支持体の拡大された部分断面図である。 図9は、処理室の透視図である。 図10は、吸気マニホールド組立体の上平面図である。 図10のB−B線に沿った吸気マニホールド組立体の断面図である。 図12は、図10のC−C線沿った吸気マニホールド組立体の側立面図である。 図13は、排気マニホールド組立体の分解透視図である。 図14は、排気マニホールド組立体の上平面図である。 図15は、流量制限器の側面図である。 図16は、流量制限器の側面図である。 図17は、流量制限器の側面図である。 図18は、流量制限器の側面図である。 図19は、異なるサイズの管および希薄化されたガス圧の下で、管の中心線から半径方向の距離の関数としてガス速度を示したグラフである。 図20は、プラズマ処理における、ウエハ温度、チャックの表面温度、処理室の圧力、および時間を示したグラフである。

Claims (29)

  1. ベースユニットと、
    該ベースユニットの空洞部に配置され、基板の受け入れが可能な表面を有する支持体を含むチャック組立体と、
    前記ベースユニットに結合され、頂壁、底壁、およびそれらから延在する側壁を含む処理室と、
    前記処理室の、前記側壁から選択された1つの側壁に設けられた第1の開口部に連通し、吸気端部と、ガスおよび/または反応物の前記処理室への流れを横方向に伸ばすために前記吸気端部から前記第1の開口部に向かって幅が増大する三角形状の整流部を含む吸気マニホールド組立体と、
    前記処理室の、前記側壁から選択された1つの側壁に対向する側壁に設けられた第2の開口部に連通し、排気端部と、前記第2の開口部から前記排気端部に向かって幅が減少する三角形状の排気受入部とを含む排気マニホールド組立体と、
    を含み、
    前記ガスおよび/または反応物は、前記吸気マニホールド組立体を通って流量制限器を介することなく処理室へ流れ、かつ、前記排気マニホールド組立体は、前記排気受入部の開口部に装着された流量制限器を介して前記処理室の前記第2の開口部に連通して、前記ガスおよび/または反応物の当該開口部を通じた前記処理室から前記排気受入部への流れを制限することを特徴とするリアクタ組立体。
  2. 前記吸気マニホールド組立体の前記整流部は、前記ガスおよび/または反応物の前記処理室への流れを、前記基板の表面に平行な平面付近に導入することを特徴とする請求項1に記載のリアクタ組立体。
  3. 前記処理室の前記頂壁は、取り外し可能であることを特徴とする請求項1に記載のリアクタ組立体。
  4. 前記排気マニホールド組立体は、前記ガスおよび/または反応物の前記処理室からの流れを、前記基板の表面に平行な平面付近で受け入れることを特徴とする請求項1に記載のリアクタ組立体。
  5. 前記チャック組立体の前記支持体は、前記基板の温度を調整するための手段を含むことを特徴とする請求項1に記載のリアクタ組立体。
  6. 前記支持体は、さらに抵抗加熱体および冷却通路を含むことを特徴とする請求項1に記載のリアクタ組立体。
  7. 前記チャック組立体の前記支持体は、固定されており、回転しないことを特徴とする請求項1に記載のリアクタ組立体。
  8. 前記頂壁は、光源に対してほぼ透明であることを特徴とする請求項1に記載のリアクタ組立体。
  9. 前記頂壁は、UV光源に対してほぼ透明であることを特徴とする請求項1に記載のリアクタ組立体。
  10. 前記頂壁は、赤外光源に対してほぼ透明であることを特徴とする請求項1に記載のリアクタ組立体。
  11. 前記処理室は、前記第1および第2の開口部を有する側壁に隣接する側壁に第3の開口部を有し、前記第3の開口部は、前記処理室の内部領域に前記基板を移送するためのサイズに形成されていることを特徴とする請求項1に記載のリアクタ組立体。
  12. 前記流量制限器は、少なくとも1つの通路を有するプレートを含むことを特徴とする請求項1に記載のリアクタ組立体。
  13. 前記流量制限器は、高さ寸法よりも大きな長さ寸法を有する四角形状のプレートを含み、前記高さ寸法の約2分の1以下の領域に通路が配置されていることを特徴とする請求項1に記載のリアクタ組立体。
  14. 前記流量制限器は、陽極処理アルミニウムを含むことを特徴とする請求項1に記載のリアクタ組立体。
  15. 前記吸気マニホールド組立体は、前記ガスおよび/または反応物を前記基板の表面に平行な平面付近に導入し、前記排気マニホールド組立体は、前記ガスおよび/または反応物を前記基板の表面に平行な平面付近で排気することを特徴とする請求項1に記載のリアクタ組立体。
  16. 前記吸気端部は、円筒形の導管を含み、
    前記整流部は、前記吸気端部に連通する第1の開口端、および第2の開口端を含んでおり、前記整流部の幅は、前記第1の開口端から前記第2の開口端に向かって増大し、かつ、前記吸気端部から当該整流部を通じて流れる流体を横方向に伸ばすように作用することを特徴とする請求項1に記載のリアクタ組立体。
  17. 前記整流部は、前記第1の開口端から前記第2の開口端に向かって減少する高さ寸法を有すること特徴とする請求項16に記載のリアクタ組立体。
  18. ガスおよび/または反応性化学種を処理室を通じて流動させるための処理方法であって、
    前記ガスおよび/または反応性化学種の前記処理室への層流を、前記処理室に連通する開口端に向かって幅が増大する三角形状の整流部により基板の表面に平行な平面付近で横方向に伸ばして、流量制限器を介することなく前記処理室へ流すステップと、
    前記ガスおよび/または反応性化学種の前記処理室からの流れを、流量制限器が装着された開口部により前記処理室と連通し、かつ、前記開口部から排気側に向かって幅が減少する三角形状の排気受入部によって、前記流量制限器によって制限しつつ前記基板の表面に平行な平面付近で排気するステップと、
    を含むことを特徴とする処理方法
  19. 前記ガスおよび/または反応性化学種の流れを排気するステップは、さらに、前記流れを制限して前記処理室の内部と前記排気受入部の内部との間に圧力差を形成することを含んでいる請求項18に記載の処理方法
  20. 前記ガスおよび/または反応性化学種の流れを排気するステップは、さらに、前記流れを制限して前記処理室の内部と前記排気受入部の内部との間に少なくとも約6.665Pa(50ミリトル)の圧力差を形成することを含んでいる請求項18に記載の処理方法
  21. 前記ガスおよび/または反応性化学種の流れを排気する工程は、さらに、前記流れを制限して前記処理室の内部と前記排気受入部の内部との間に少なくとも約39.99Pa(300ミリトル)の圧力差を形成することを含んでいる請求項18に記載の処理方法
  22. 前記ガスおよび/または反応性化学種の流れを排気する工程は、さらに、前記流れを制限して前記処理室の内部と前記排気受入部の内部との間に少なくとも約66.65Pa(500ミリトル)の圧力差を形成することを含んでいる請求項18に記載の処理方法。
  23. 前記処理室を約13.33Pa(100ミリトル)から約399.9Pa(3トル)の圧力で作動させることをさらに含んでいる請求項18に記載の処理方法。
  24. 前記層流を横方向に伸ばすステップは、中央部分の流速と外側部分の流速との差を最小化することを含んでいる請求項18に記載の処理方法。
  25. ベースユニットと、
    該ベースユニットの空洞部に配置され、基板の受け入れが可能な表面を有する支持体を含むチャック組立体と、
    前記ベースユニットに結合され、透明な頂壁、底壁、およびそれらから延在する側壁を含む処理室と、
    前記処理室内に放射光を照射するために、前記透明な頂壁に対して作動可能な光源組立体と、
    前記処理室の、前記側壁から選択された1つの側壁に設けられた第1の開口部に連通し、吸気端部と、ガスおよび/または反応物の前記処理室への流れを横方向に伸ばすために前記吸気端部から前記第1の開口部に向かって幅が増大する三角形状の整流部とを含む吸気マニホールド組立体と、
    前記処理室の、前記側壁から選択された1つの側壁に対向する側壁に設けられた第2の開口部に連通し、排気端部と、前記第2の開口部から前記排気端部に向かって幅が減少する三角形状の排気受入部とを含む排気マニホールド組立体と、
    を含み、
    前記ガスおよび/または反応物は、前記吸気マニホールド組立体を通って流量制限器を介することなく処理室へ流れ、かつ、前記排気マニホールド組立体は、前記排気受入部の開口部に装着された流量制限器を介して前記処理室の前記第2の開口部に連通して、前記ガスおよび/または反応物の当該開口部を通じた前記処理室から前記排気受入部への流れを制限することを特徴とするリアクタ組立体
  26. 前記光源組立体は、放射光を放射するためのものであり、ハウジングと光源とを含むことを特徴とする請求項25に記載のリアクタ組立体
  27. 前記頂壁は、石英材料を含むことを特徴とする請求項25に記載のリアクタ組立体
  28. 前記排気マニホールド組立体は、前記ガスおよび/または反応物の前記処理室からの流れを、前記基板の表面に平行な平面付近で受け入れることを特徴とする請求項25に記載のリアクタ組立体
  29. 前記透明な頂壁は、取り外し可能であることを特徴とする請求項25に記載のリアクタ組立体。
JP2003566884A 2002-02-08 2003-02-10 リアクタ組立体および処理方法 Expired - Fee Related JP4352234B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/071,908 US7163587B2 (en) 2002-02-08 2002-02-08 Reactor assembly and processing method
PCT/US2003/003998 WO2003067635A2 (en) 2002-02-08 2003-02-10 Reactor assembly and processing method

Publications (2)

Publication Number Publication Date
JP2005517295A JP2005517295A (ja) 2005-06-09
JP4352234B2 true JP4352234B2 (ja) 2009-10-28

Family

ID=27659351

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003566884A Expired - Fee Related JP4352234B2 (ja) 2002-02-08 2003-02-10 リアクタ組立体および処理方法

Country Status (8)

Country Link
US (1) US7163587B2 (ja)
EP (1) EP1472719A2 (ja)
JP (1) JP4352234B2 (ja)
KR (1) KR20040079993A (ja)
CN (1) CN1628368A (ja)
AU (1) AU2003213000A1 (ja)
TW (1) TWI262556B (ja)
WO (1) WO2003067635A2 (ja)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US7821655B2 (en) * 2004-02-09 2010-10-26 Axcelis Technologies, Inc. In-situ absolute measurement process and apparatus for film thickness, film removal rate, and removal endpoint prediction
JP4934595B2 (ja) * 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8398816B1 (en) * 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
KR100757356B1 (ko) * 2006-08-03 2007-09-11 주식회사 에스에프에이 화학 기상 증착장치
DE102007002415B4 (de) * 2007-01-17 2011-04-28 Atlas Material Testing Technology Gmbh Vorrichtung zur Licht- oder Bewitterungsprüfung enthaltend ein Probengehäuse mit integriertem UV-Strahlungsfilter
US20080296258A1 (en) * 2007-02-08 2008-12-04 Elliott David J Plenum reactor system
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
FI123322B (fi) * 2007-12-17 2013-02-28 Beneq Oy Menetelmä ja laitteisto plasman muodostamiseksi
JP5060324B2 (ja) * 2008-01-31 2012-10-31 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び処理容器
JP2009239082A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
TWI475592B (zh) 2008-07-07 2015-03-01 Lam Res Corp 用來偵測電漿處理腔室中之電漿不穩定性的被動電容耦合靜電探針裝置
US8547085B2 (en) * 2008-07-07 2013-10-01 Lam Research Corporation Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
US8603243B2 (en) * 2008-07-31 2013-12-10 The United States Of America, As Represented By The Secretary Of The Navy Tracking carbon to silicon ratio in situ during silicon carbide growth
WO2010030718A2 (en) * 2008-09-11 2010-03-18 Varian Semiconductor Equipment Associates, Inc. Technique for monitoring and controlling a plasma process with an ion mobility spectrometer
US9328417B2 (en) 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5410348B2 (ja) * 2010-03-26 2014-02-05 株式会社豊田中央研究所 表面処理装置
FR2957938B1 (fr) * 2010-03-29 2012-10-05 Koolerheadz Dispositif d'injection de gaz avec vitesse de gaz uniforme
FR2957939B1 (fr) * 2010-03-29 2012-08-17 Koolerheadz Dispositif d'injection de gaz modulaire
WO2011121507A1 (en) 2010-03-29 2011-10-06 Koolerheadz Gas injection device with uniform gas velocity
KR101685629B1 (ko) * 2011-04-29 2016-12-12 한국에이에스엠지니텍 주식회사 수평 흐름 원자층 증착 장치
US8912077B2 (en) * 2011-06-15 2014-12-16 Applied Materials, Inc. Hybrid laser and plasma etch wafer dicing using substrate carrier
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
JP5602903B2 (ja) 2013-03-14 2014-10-08 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
CN105164309B (zh) * 2013-05-01 2019-04-12 应用材料公司 用于控制外延沉积腔室流量的注入及排放设计
US9028765B2 (en) 2013-08-23 2015-05-12 Lam Research Corporation Exhaust flow spreading baffle-riser to optimize remote plasma window clean
JP6198584B2 (ja) * 2013-11-21 2017-09-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
JP6309252B2 (ja) * 2013-11-21 2018-04-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP6050860B1 (ja) * 2015-05-26 2016-12-21 株式会社日本製鋼所 プラズマ原子層成長装置
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
CN106544646B (zh) * 2015-09-18 2019-02-01 沈阳拓荆科技有限公司 一种原子层沉积设备
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
DE102016101003A1 (de) 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse
US10294562B2 (en) * 2016-04-05 2019-05-21 Aixtron Se Exhaust manifold in a CVD reactor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10446420B2 (en) * 2016-08-19 2019-10-15 Applied Materials, Inc. Upper cone for epitaxy chamber
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10636626B2 (en) * 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11486038B2 (en) 2019-01-30 2022-11-01 Applied Materials, Inc. Asymmetric injection for better wafer uniformity
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP7413505B2 (ja) 2019-08-05 2024-01-15 アイコール・システムズ・インク 層流制限器
US11841036B2 (en) 2019-08-05 2023-12-12 Ichor Systems, Inc. Laminar flow restrictor and seal for same
CN111455458B (zh) * 2019-09-18 2021-11-16 北京北方华创微电子装备有限公司 外延装置及应用于外延装置的进气结构
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
CA3209128A1 (en) * 2021-01-23 2022-07-28 Thomas J. SHEPERAK Plasma gas generator
CN114959650B (zh) * 2022-05-18 2023-10-20 江苏微导纳米科技股份有限公司 一种半导体装置
US20230395356A1 (en) * 2022-06-07 2023-12-07 Applied Materials, Inc. Plasma chamber with gas cross-flow, microwave resonators and a rotatable pedestal for multiphase cyclic deposition

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4839145A (en) * 1986-08-27 1989-06-13 Massachusetts Institute Of Technology Chemical vapor deposition reactor
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US5044315A (en) * 1987-06-24 1991-09-03 Epsilon Technology, Inc. Apparatus for improving the reactant gas flow in a reaction chamber
US4846102A (en) * 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US5261960A (en) * 1987-06-24 1993-11-16 Epsilon Technology, Inc. Reaction chambers for CVD systems
US5244694A (en) * 1987-06-24 1993-09-14 Advanced Semiconductor Materials America, Inc. Apparatus for improving the reactant gas flow in a reaction chamber
US4993360A (en) * 1988-03-28 1991-02-19 Kabushiki Kaisha Toshiba Vapor growth apparatus having a diffuser section containing a flow regulating member
JP2592511B2 (ja) * 1988-12-03 1997-03-19 株式会社フレンドテック研究所 縦型半導体製造システム
JP2888253B2 (ja) * 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
FR2661554A1 (fr) * 1990-04-30 1991-10-31 Philips Electronique Lab Dispositif d'introduction des gaz dans la chambre d'un reacteur d'epitaxie, chambre de reacteur comportant un tel dispositif d'introduction de gaz, et utilisation d'une telle chambre pour la realisation de couches semiconductrices.
US5190592A (en) * 1990-05-02 1993-03-02 Commissariat A L'energie Atomique Aerosol injection system for producing composite layers by pyrolysis
DE69126122T2 (de) * 1990-09-21 1997-08-28 Fujitsu Ltd Methode und apparat zum wachsen von verbindungshalbleiterkristallen
WO1993006619A1 (en) * 1991-09-27 1993-04-01 Komatsu Electronic Metals Co., Ltd. Apparatus for introducing gas, and apparatus and method for epitaxial growth
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
GB2264957B (en) * 1992-03-12 1995-09-20 Bell Communications Res Deflected flow in a chemical vapor deposition cell
JP2875458B2 (ja) * 1993-07-16 1999-03-31 大日本スクリーン製造株式会社 基板の熱処理装置
US5441568A (en) 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
JP3008782B2 (ja) * 1994-07-15 2000-02-14 信越半導体株式会社 気相成長方法およびその装置
US5685906A (en) * 1995-03-23 1997-11-11 Seh America, Inc. Method and apparatus for configuring an epitaxial reactor for reduced set-up time and improved layer quality
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6325858B1 (en) 1997-11-03 2001-12-04 Asm America, Inc. Long life high temperature process chamber
US6185839B1 (en) 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6143079A (en) * 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
US6583638B2 (en) * 1999-01-26 2003-06-24 Trio-Tech International Temperature-controlled semiconductor wafer chuck system
US6355108B1 (en) * 1999-06-22 2002-03-12 Applied Komatsu Technology, Inc. Film deposition using a finger type shadow frame
US6383330B1 (en) * 1999-09-10 2002-05-07 Asm America, Inc. Quartz wafer processing chamber

Also Published As

Publication number Publication date
EP1472719A2 (en) 2004-11-03
KR20040079993A (ko) 2004-09-16
TW200308012A (en) 2003-12-16
AU2003213000A8 (en) 2003-09-02
AU2003213000A1 (en) 2003-09-02
TWI262556B (en) 2006-09-21
US20030150560A1 (en) 2003-08-14
JP2005517295A (ja) 2005-06-09
WO2003067635A2 (en) 2003-08-14
CN1628368A (zh) 2005-06-15
US7163587B2 (en) 2007-01-16
WO2003067635A3 (en) 2003-11-27

Similar Documents

Publication Publication Date Title
JP4352234B2 (ja) リアクタ組立体および処理方法
US11735441B2 (en) Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) Systems and methods for improved semiconductor etching and component protection
US7718004B2 (en) Gas-introducing system and plasma CVD apparatus
TWI434334B (zh) 電漿cvd裝置
US7862683B2 (en) Chamber dry cleaning
KR20200028041A (ko) 플라즈마 식각 프로세스들에서, 코팅된 부분들을 사용한 프로세스 윈도우 확장
WO2005069344A2 (en) Gas distribution plate assembly for plasma reactors
TWI671816B (zh) 負載鎖定整合斜面蝕刻器系統
US20230402261A1 (en) Uniform in situ cleaning and deposition
US20220165567A1 (en) Systems and methods for deposition residue control
TW202240668A (zh) 使用一或更多個無定形碳硬遮罩層來處理基板的方法、系統和裝置
TW202201466A (zh) 在電漿腔室中使用的低電阻限制襯墊
TWI844439B (zh) 均勻的原位清洗及沉積
US11862475B2 (en) Gas mixer to enable RPS purging
TWI847181B (zh) 用於改良式半導體蝕刻及部件保護之系統與方法
TW202431901A (zh) 具三個充氣部的噴淋頭

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051213

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081217

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090317

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090624

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090714

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120807

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130807

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees