KR960002528A - 유도성으로 연결된 고밀도 플라즈마 반응기 - Google Patents

유도성으로 연결된 고밀도 플라즈마 반응기 Download PDF

Info

Publication number
KR960002528A
KR960002528A KR1019950016806A KR19950016806A KR960002528A KR 960002528 A KR960002528 A KR 960002528A KR 1019950016806 A KR1019950016806 A KR 1019950016806A KR 19950016806 A KR19950016806 A KR 19950016806A KR 960002528 A KR960002528 A KR 960002528A
Authority
KR
South Korea
Prior art keywords
faraday shield
ceiling
plasma reactor
antenna
vacuum chamber
Prior art date
Application number
KR1019950016806A
Other languages
English (en)
Other versions
KR100378468B1 (ko
Inventor
키안 주에유
Original Assignee
제임스 조셉 드롱
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 제임스 조셉 드롱, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 제임스 조셉 드롱
Publication of KR960002528A publication Critical patent/KR960002528A/ko
Application granted granted Critical
Publication of KR100378468B1 publication Critical patent/KR100378468B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 플라즈마 가스를 함유할 수 있고 내부에 웨이퍼를 보유할 수 있는 진공챔버를 포함하는 유도성으로 연결된 플라즈마 반응기를 제공하려는 것이다. 본 발명에 따른 플라즈마 반응기는 또한, RF 안테나, 상기 RF 안테나에 RF 전력을 공급하기 위한 RF 전력원, 및 상기 RF 전력원과 상기 RF 코일 안테나 사이의 용량성 연결을 줄이기 위해서 상기 RF 전력원으로부터 상기 RF 안테나를 전기적으로 절연시키기 위한 절연수단을 포함한다. 바람직하게도, RF 코일 안테나를 절연시키기 위한 수단은 상기 RF 전력원을 가로질러서 연결된 제1권선 및 상기 RF 안테나를 가로질러서 연결된 제2권선을 갖는 변압기로 이루어져 있다. 바람직하게도, 플라즈마 반응기는 다수의 층을 갖는 도전성 패러데이(Faraday) 차폐물을 더 포함하며, 상기 페러데이 차폐물은 상기 RF 안테나와 상기 진공챔버 사이에 배치되어 있고, 와류전류 억제용 구멍을 갖추고 있으며, 상기 와류전류 억제용 구멍은 패러데이 차폐물의 인접한 층에서 상기 패러데이 차폐물의 도전부를 지향하는 상기 패러데이 차폐물의 각각의 층에 형성되어 있다. 진공챔버의 천정은 가스 분배 다기관으로서 기능한다. 천정의 내부층은 상기 가스분해 다기관의 샤워헤드이다.

Description

유도성으로 연결된 고밀도 플라즈마 반응기
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명에 따른 유도성으로 연결된 플라즈마 반응기의 개략적인 다이어그램,
제2도는 제1도의 실시예에 채용된 패러데이 차폐물 및 가스 분배장치의 확대 사시도,
제3도는 제2도에 도시된 가스 분배장치의 저면도.

Claims (19)

  1. 플라즈마 가스를 함유할 수 있고 내부에 웨이퍼를 보유할 수 있는 진공챔버를 포함하는 유도성으로 연결된 플라즈마 반응기로서, RF 안테나 및 상기 RF 안테나에 RF 전력을 공급하기 위한 RF 전력원, 및 상기 RF 전력원에 대하여 상기 RF 안테나를 전기적으로 절연시키기 위한 절연수단을 포함하는 유도성으로 연결된 플라즈마 반응기.
  2. 제1항에 있어서, 상기 절연수단은 상기 RF 전력원을 가로질러서 연결된 제1권선 및 상기 RF 안테나를 가로질러서 연결된 제2권선을 갖는 변압기로 이루어져 있는 유도성으로 연결된 플라즈마 반응기.
  3. 제1항에 있어서, 다수의 층을 갖는 도전성 패러데이(Faraday) 차폐물을 더 포함하며, 상기 패러데이 차폐물은 상기 RF 안테나와 상기 진공챔버 사이에 배치되어 있고, 와류전류 억제용 개구부들을 갖추고 있으며, 상기 와류전류 억제용 개구부들은 상기 패러데이 차폐물의 인접한 층에서 상기 패러데이 차폐물의 도전부들을 지향하는 상기 패러데이 차폐물의 각각의 층에 형성되어 있는 유도성으로 연결된 플라즈마 반응기.
  4. 제3항에 있어서, 상기 진공챔버를 덮는 천정 및 상기 천정의 내부면상에 형성된 가스 분배 다기관을 더 포함하며, 상기 RF 안테나는 상기 친정에 인접하여 배치되어 있고, 상기 패러데이 차폐물은 상기 RF 안테나와 상기 진공챔버의 상기 천정 사이에 위치되어 있으며, 상기 천정에는 상기 진공챔버의 내부를 지향하는 가스 분배용 오리피스가 구비되어 있는 유도성으로 연결된 플라즈마 반응기.
  5. 제4항에 있어서, 상기 천정은 상기 진공챔버의 내부를 지향하는 오목면을 갖춘 돔형상을 가지며, 상기 RF 안테나는 돔형상의 상기 천정 주위로 나선형으로 코일이 감겨진 전도체로 이루어져 있고, 상기 와류전류 억제용 개구부들은 상기 전도체에 대하여 수직하게 놓여 있으며, 상기 패러데이 차폐물은 상기 천정의 상기 돔형상에 부합하는 돔형상의 도전성 시이트로 이루어져 있고, 상기 가스 분배용 오리피스는 상기 천정의 상기 돔형상에 부합하는 돔형상을 가지며, 상기 가스 분배 다기관, 상기 패러데이 차폐물, 상기 RF 안테나 및 상기 천정이 함께 하나의 유니트를 이루고 있는 유도성으로 연결된 플라즈마 반응기.
  6. 제5항에 있어서, 상기 패러데이 차폐물은 한쌍의 얇은 도전성 돔형상 시이트로 이루어져 있는 유도성으로 연결된 플라즈마 반응기.
  7. 플라즈마 가스를 함유할 수 있고 내부에 웨이퍼를 보유할 수 있는 진공챔버를 포함하는 유도성으로 연결된 플라즈마 반응기로서, (a) 상기 진공챔버에 인접하게 위치하고 RF 전력원에 연결되기에 적합하며 상기 진공챔버의 내부를 비출 수 있는 RF 안테나, 및 (b) 다수의 층들을 가지며 상기 RF 안테나와 상기 진공챔버 사이에 배치되고 와류전류 억제용 개구부들을 갖추고 있는 도전성 패러데이 차폐물로서, 상기 와류전류 억제용 개구부들은 상기 패러데이 차폐물의 인접한 층에서 상기 패러데이 차폐물의 도전부를 지향하는 상기 패러데이 차폐물의 각각의 층에 형성되어 있는, 패러데이 차폐물을 포함하는 유도성으로 연결된 플라즈마 반응기.
  8. 제7항에 있어서, 상기 진공챔버를 덮는 천정 및 상기 천정의 내부면상에 형성된 가스 분배 다기관을 더 포함하며, 상기 RF 안테나는 상기 천정에 인접하여 배치되어 있고, 상기 패러데이 차폐물은 상기 RF 안테나와 상기 진공챔버의 상기 천정 사이에 위치되어 있으며, 상기 천정에는 상기 진공챔버의 내부를 지향하는 가스 분배용 오리피스가 구비되어 있는 유도성으로 연결된 플라즈마 반응기.
  9. 제8항에 있어서, 상기 천정은 상기 진공챔버의 내부를 지향하는 오목면을 갖춘 돔형상을 가지며, 상기 RF 안테나는 돔형상의 상기 천정주위로 나선형으로 코일이 감겨진 전도체로 이루어져 있고, 상기 와류전류 억제용 개구부들은 상기 전도체에 대하여 수직하게 놓여 있으며, 상기 패러데이 차폐물은 상기 천정의 상기 돔형상에 부합하는 돔형상의 도전성 시이트로 이루어져 있고, 상기 가스 분배용 오리피스는 상기 천정의 상기 돔형상에 부합하는 돔형을 가지며, 상기 가스 분배 다기관, 상기 패러데이 차폐물, 상기 RF 안테나 및 상기 천정이 함께 하나의 유니트를 이루고 있는 유도성으로 연결된 플라즈마 반응기.
  10. 제8항에 있어서, 상기 패러데이 차폐물은 한쌍의 얇은 도전성 돔형상 사이트로 이루어져 있는 유도성으로 연결된 플라즈마 반응기.
  11. 제7항에 있어서, 상기 RF 안테나와 상기 패러데이 차폐물 사이에 위치된 절연층을 더 포함하는 유도성으로 연결된 플라즈마 반응기.
  12. 제11항에 있어서, 상기 패러데이 차폐물은 다수의 도전층 및 인접한 상기 도전층들 사이에 우치된 절연층을 포함하고 있는 유도성으로 연결된 플라즈마 반응기.
  13. 플라즈마 반응기에서 상기 플라즈마 반응기의 내부내로 에너지를 유도성으로 연결하기 위한 조사기(applicator) 및 전력원을 포함하는 장치로서, (a) 상기 플라즈마 반응기의 상기 내부내로 유도성으로 연결된 에너지를 제공하기 위한 상기 조사기와 상기 전력원 사이에 연결된 절연층으로서, 용량성 연결을 최소화하면서 상기 전력원으로부터 상기 조사기로 전력을 전달할 수 있게 하는, 절연층, 및 (b) 상기 조사기로부터 떨어져서 상기 조사기와 상기 플라즈마 반응기 사이에서 상기 플라즈마 반응기에 가깝게 위치된 도전성 패러데이 차폐물로서, 서로 이격된 다수의 도전층들을 가지며, 각각의 상기 층에는 인접한 층의 강체부분을 지향하는 개구부들이 구비되어 있고, 상기 개구부들은 상기 충돌내에서 와류전류를 억제하는 방향으로 형성되어 있는, 패러데이 차폐물을 포함하는 장치.
  14. 제13항에 있어서, 상기 플라즈마 반응기내의 가스 분배 다기관을 더 포함하며, 상기 가스 분배 다기관은 상기 패러데이 차폐물에 대향하는 상기 플라즈마 반응기의 내벽에 인접하게 위치하고, 상기 플라즈마 반응기의 내부를 지향하는 오리피스들을 갖추고 있는 장치.
  15. 제13항에 있어서, 상기 전력원은 알에피(RF)이고, 상기 조사기는 변압기인 장치.
  16. 제13항에 있어서, 상기 플라즈마 반응기에는 돔형상의 상부벽이 구비되어 있고, 상기 조사기는 상기 상부벽 주위로 코일이 감겨진 도전체로서 이루어져 있으며, 상기 패러데이 차폐물은 상기 상부벽의 곡률에 부합되는 장치.
  17. 제16항에 있어서, 상기 패러데이 차폐물의 상기 도전층들내에 형성된 구멍들은 상기 조사기 도전체의 인접한 부분의 방향에 대하여 수직한 방향으로 길게 연장되어 있는 장치.
  18. 제13항에 있어서, 상기 절연층은 상기 패러데이 차폐물과 상기 조사기 사이의 공간을 점유하고 있는 장치.
  19. 제13항에 있어서, 상기 절연층은 상기 패러데이 차폐물의 인접한 상기 도전층들 사이의 공간을 점유하고 있는 장치.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019950016806A 1994-06-23 1995-06-22 고밀도유도결합플라즈마반응기 KR100378468B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/265,596 US5540800A (en) 1994-06-23 1994-06-23 Inductively coupled high density plasma reactor for plasma assisted materials processing
US08/265,596 1994-06-23

Publications (2)

Publication Number Publication Date
KR960002528A true KR960002528A (ko) 1996-01-26
KR100378468B1 KR100378468B1 (ko) 2003-06-02

Family

ID=23011102

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950016806A KR100378468B1 (ko) 1994-06-23 1995-06-22 고밀도유도결합플라즈마반응기

Country Status (3)

Country Link
US (1) US5540800A (ko)
JP (1) JPH0888220A (ko)
KR (1) KR100378468B1 (ko)

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5685942A (en) * 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
JP3426382B2 (ja) * 1995-01-24 2003-07-14 アネルバ株式会社 プラズマ処理装置
US6132564A (en) * 1997-11-17 2000-10-17 Tokyo Electron Limited In-situ pre-metallization clean and metallization of semiconductor wafers
US6224724B1 (en) 1995-02-23 2001-05-01 Tokyo Electron Limited Physical vapor processing of a surface with non-uniformity compensation
US5683539A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Inductively coupled RF plasma reactor with floating coil antenna for reduced capacitive coupling
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5763851A (en) * 1995-11-27 1998-06-09 Applied Materials, Inc. Slotted RF coil shield for plasma deposition system
WO1997033300A1 (en) * 1996-03-06 1997-09-12 Mattson Technology, Inc. Icp reactor having a conically-shaped plasma-generating section
KR100212125B1 (ko) * 1996-03-19 1999-08-02 윤종용 고밀도 플라즈마 소스의 이온화 증진 구조
US5880033A (en) * 1996-06-17 1999-03-09 Applied Materials, Inc. Method for etching metal silicide with high selectivity to polysilicon
US6056848A (en) 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
JP4107596B2 (ja) * 1996-10-02 2008-06-25 東京エレクトロン株式会社 プラズマ処理装置
EP0841683A3 (en) * 1996-10-08 1999-12-01 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
JPH10172792A (ja) * 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
US6033585A (en) * 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US5948215A (en) * 1997-04-21 1999-09-07 Tokyo Electron Limited Method and apparatus for ionized sputtering
US5800688A (en) * 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
DE19734278C1 (de) * 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
US6565717B1 (en) * 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6232233B1 (en) * 1997-09-30 2001-05-15 Siemens Aktiengesellschaft Methods for performing planarization and recess etches and apparatus therefor
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6280563B1 (en) * 1997-12-31 2001-08-28 Lam Research Corporation Plasma device including a powered non-magnetic metal member between a plasma AC excitation source and the plasma
US6516742B1 (en) * 1998-02-26 2003-02-11 Micron Technology, Inc. Apparatus for improved low pressure inductively coupled high density plasma reactor
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6117401A (en) * 1998-08-04 2000-09-12 Juvan; Christian Physico-chemical conversion reactor system with a fluid-flow-field constrictor
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6248251B1 (en) * 1999-02-19 2001-06-19 Tokyo Electron Limited Apparatus and method for electrostatically shielding an inductively coupled RF plasma source and facilitating ignition of a plasma
US6447637B1 (en) * 1999-07-12 2002-09-10 Applied Materials Inc. Process chamber having a voltage distribution electrode
SE9903675D0 (sv) * 1999-10-13 1999-10-13 Abb Research Ltd A device and a method for heat treatment of an object in a susceptor
JP2003514388A (ja) * 1999-11-15 2003-04-15 ラム リサーチ コーポレーション 処理システム用の材料およびガス化学剤
US6341574B1 (en) 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6322661B1 (en) 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma
US6320320B1 (en) 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
US6531030B1 (en) 2000-03-31 2003-03-11 Lam Research Corp. Inductively coupled plasma etching apparatus
JP4610039B2 (ja) * 2000-03-31 2011-01-12 ラム リサーチ コーポレーション プラズマ処理装置
AU2001247889A1 (en) * 2000-03-31 2001-10-15 Lam Research Corporation Apparatus and methods for actively controlling rf peak-to-peak voltage in an inductively coupled plasma etching system
US6422173B1 (en) 2000-06-30 2002-07-23 Lam Research Corporation Apparatus and methods for actively controlling RF peak-to-peak voltage in an inductively coupled plasma etching system
CN1320594C (zh) * 2000-03-31 2007-06-06 拉姆研究公司 感应耦合的等离子体腐蚀装置及其方法
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
EP1454346B1 (en) * 2001-10-18 2012-01-04 Chul Soo Byun Method and apparatus for chemical vapor deposition capable of preventing contamination and enhancing film growth rate
JP2004014904A (ja) 2002-06-10 2004-01-15 Tokyo Ohka Kogyo Co Ltd 同時放電化装置
CN1666281A (zh) * 2002-07-08 2005-09-07 皇家飞利浦电子股份有限公司 在紧固区和信息区之间设有过渡区域的信息载体
EP1522072B1 (en) * 2002-07-08 2009-04-29 Koninklijke Philips Electronics N.V. Media drive clamping device provided with communication means
US6822185B2 (en) * 2002-10-08 2004-11-23 Applied Materials, Inc. Temperature controlled dome-coil system for high power inductively coupled plasma systems
KR100964398B1 (ko) * 2003-01-03 2010-06-17 삼성전자주식회사 유도결합형 안테나 및 이를 채용한 플라즈마 처리장치
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
KR100553757B1 (ko) 2003-11-19 2006-02-20 삼성에스디아이 주식회사 유도결합형 플라즈마 처리장치
US20050194099A1 (en) * 2004-03-03 2005-09-08 Jewett Russell F.Jr. Inductively coupled plasma source using induced eddy currents
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
KR100876050B1 (ko) * 2004-12-28 2008-12-26 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치
US20090255630A1 (en) * 2005-04-28 2009-10-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus and electrode member
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
KR100824304B1 (ko) * 2006-12-21 2008-04-22 세메스 주식회사 플라즈마 처리 장치
TW200830941A (en) * 2007-01-15 2008-07-16 Jehara Corp Plasma generating apparatus
JP4933329B2 (ja) * 2007-03-30 2012-05-16 パナソニック株式会社 プラズマ処理装置
US8109943B2 (en) * 2009-04-10 2012-02-07 Gordian Surgical, LLC Systems and methods for suture anchor deployment
JP5227245B2 (ja) * 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
WO2011040147A1 (ja) * 2009-09-29 2011-04-07 株式会社 アルバック プラズマエッチング装置
JP2011124293A (ja) * 2009-12-09 2011-06-23 Hitachi High-Technologies Corp プラズマ処理装置
JP5701050B2 (ja) * 2010-12-24 2015-04-15 キヤノンアネルバ株式会社 プラズマ処理装置
JP5913829B2 (ja) * 2011-04-21 2016-04-27 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
US9293353B2 (en) 2011-04-28 2016-03-22 Lam Research Corporation Faraday shield having plasma density decoupling structure between TCP coil zones
US9966236B2 (en) * 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
WO2013099372A1 (ja) * 2011-12-27 2013-07-04 キヤノンアネルバ株式会社 放電容器及びプラズマ処理装置
JP6051788B2 (ja) * 2012-11-05 2016-12-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ発生装置
JP5939147B2 (ja) 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
US9029267B2 (en) 2013-05-16 2015-05-12 Lam Research Corporation Controlling temperature of a faraday shield
US9885493B2 (en) 2013-07-17 2018-02-06 Lam Research Corporation Air cooled faraday shield and methods for using the same
JP6240441B2 (ja) * 2013-09-06 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR102113685B1 (ko) 2013-10-08 2020-05-21 엘지디스플레이 주식회사 터치입력장치
CN104576278B (zh) * 2013-10-10 2017-05-10 中微半导体设备(上海)有限公司 一种法拉第屏蔽板及其所在的等离子体处理系统
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US20190131112A1 (en) * 2017-10-30 2019-05-02 Mattson Technology, Inc. Inductively Coupled Plasma Wafer Bevel Strip Apparatus
US20230162947A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. High density plasma enhanced process chamber

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4661304A (en) * 1984-01-10 1987-04-28 The United States Of America As Represented By The United States Department Of Energy Resonant-cavity antenna for plasma heating
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
DE3738352A1 (de) * 1987-11-11 1989-05-24 Technics Plasma Gmbh Filamentloses magnetron-ionenstrahlsystem
EP0379828B1 (en) * 1989-01-25 1995-09-27 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
WO1992020833A1 (en) * 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
DE69226253T2 (de) * 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source

Also Published As

Publication number Publication date
KR100378468B1 (ko) 2003-06-02
US5540800A (en) 1996-07-30
JPH0888220A (ja) 1996-04-02

Similar Documents

Publication Publication Date Title
KR960002528A (ko) 유도성으로 연결된 고밀도 플라즈마 반응기
US5800621A (en) Plasma source for HDP-CVD chamber
TWI390578B (zh) 具有放電感應電橋的電漿源及使用該電漿源的電漿處理系統
US5389153A (en) Plasma processing system using surface wave plasma generating apparatus and method
KR100539622B1 (ko) 플라즈마처리장치
EP2622625B1 (en) Compact rf antenna for an inductively coupled plasma ion source
TW353195B (en) Plasma processing system
KR19990028399A (ko) 유도 결합 플라즈마 소스를 위한 저 인덕턴스 대면적 코일
KR970077318A (ko) 오버헤드 솔레노이드 안테나를 가지는 유도 결합된 rf 플라즈마 반응기
KR960002626A (ko) 플라즈마 점화를 향상시키기 위한 전극을 가지는 유도 결합된 플라즈마 반응로
KR20040032666A (ko) 원격 플라즈마 발생기
JPH05508298A (ja) 高電圧dc電源
JP4540797B2 (ja) 基板処理チャンバ及び基板処理チャンバ用のアンテナコイルアセンブリ
WO2006001253A1 (ja) プラズマ処理装置
CN103187943A (zh) 一种用于静电吸盘的射频滤波器
TW520620B (en) Radial antenna and plasma processing apparatus using the same
KR20200130416A (ko) 챔버 어셈블리 및 반응 챔버
KR102602503B1 (ko) 대기압 하에서 개질 대상 가스를 개질하기 위한 가스 개질용 플라즈마 발생 장치
CN106937474B (zh) 一种电感耦合等离子处理器
CN110366304B (zh) 等离子体处理装置
JP2000156118A (ja) 高圧変電所用金属クラッド型電気導体母線
KR100603286B1 (ko) 다중심축을 가지는 안테나와, 이를 채용한 유도 결합형플라즈마 발생 장치
JPH05299197A (ja) イオン加速装置
KR100433032B1 (ko) 포토레지스터 애싱 장치
WO1992003834A1 (en) High voltage isolation transformer

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120228

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee