KR960002626A - 플라즈마 점화를 향상시키기 위한 전극을 가지는 유도 결합된 플라즈마 반응로 - Google Patents

플라즈마 점화를 향상시키기 위한 전극을 가지는 유도 결합된 플라즈마 반응로 Download PDF

Info

Publication number
KR960002626A
KR960002626A KR1019950014881A KR19950014881A KR960002626A KR 960002626 A KR960002626 A KR 960002626A KR 1019950014881 A KR1019950014881 A KR 1019950014881A KR 19950014881 A KR19950014881 A KR 19950014881A KR 960002626 A KR960002626 A KR 960002626A
Authority
KR
South Korea
Prior art keywords
plasma reactor
plasma
auxiliary electrode
vacuum chamber
induction coil
Prior art date
Application number
KR1019950014881A
Other languages
English (en)
Other versions
KR100328135B1 (ko
Inventor
포스터 존
엠. 코헨 바니
오. 스팀슨 브래들리
플록쓰 조지
Original Assignee
제임스 조셉 드롱
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 제임스 조셉 드롱, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 제임스 조셉 드롱
Publication of KR960002626A publication Critical patent/KR960002626A/ko
Application granted granted Critical
Publication of KR100328135B1 publication Critical patent/KR100328135B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

반도체 기판의 플라즈마 처리를 수행하기 위한 플라즈마 반응로는 챔버의 내부로 가스를 도입하기 위한 장치를 포함하는 진공 챔버, 진공챔버의 지역을 감싸는 유도 코일, RF 전력원을 가로질러 연결된 코일, 및 지역에 근접하게 위치되고 진공 챔버 내부의 가스에 RF 전력을 유도적으로 결합하기 위한 RF 전력원에 연결된 코일을 포함한다. 전극은 플라즈마 점화를 촉진 시키기에 충분한 지역에서 가스에 RF 전력의 용량성 결합을 제공하기에 충분히 큰 지역에 접하는 표면 지역을 갖지만, 그 지역은, 플라즈마의 정상상태 유지동안, RF 전력원으로 부터 플라즈마에 결합된 대부분의 RF 전력이 용량적으로 보다 오히려 유도적으로 결합되도록 충분히 작다.

Description

플라즈마 점화를 향상시키기 우한 전극을 가지는 유도 결합된 플라즈마 반응로
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제3도는 본 발명을 실현하는 플라즈마 반응로 부분의 개략 부분 절단 측면도,
제4도는 제3도의 플라즈마 반응로 부분의 투시 평면도,
제5도는 제6도 및 제7도에 도시된 반응로의 유도 코일 및 보조 전극과 대응하는 등가회로의 개략도,
제6도는 (파선 곡선으로 표시된) 보조 전극에 인가된 전력을 가진 그리고 보조 전극에 인가된 전력없이 챔버압력의 함수로서 제3도의 반응로에서 플라즈마를 점화하기 위하여 요구된 유도코일 RF 전력의 그래프,
제7도는 원형의 진공 챔버 주위에 감겨진 원형의 유도 코일을 가지는 본 발명의 실시예의 개략부분 절단도.

Claims (33)

  1. 반도체 기판의 플라즈마 처리를 수행하기 위한 플라즈마 반응로에 있어서, 진공 챔버 내부에 가스를 도입하기 위한 수단을 포함하는 진공 챔버; RF 전력원을 가로질로 연결되고, 상기 진공 챔버의 지역을 에워싸는 유도 코일; 및 상기 지역에 인접하며, 상기 진공 챔버 내의 상기 가스에 RF전력을 용량 결합하기 위하여 상기 RF 전력원에 연결되고, 플라즈마의 정산 상태 유지동안 상기 RF전력원으로 부터 플라즈마에 결하된 대부분의 RF 전력이 용량적으로 보다 유도적으로 결합되도록 하기 위하여 플라즈마 점화를 촉진 시키기에 충분한 상기 진공 챔버내의 상기 가스에 RF 전력의 용량성 결합을 제한하기에 알맞은 상기 지역상의 영역을 감싸고 상기 지역에 접하는 표면 영역을 가지는 보조 전극을 포함하는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  2. 제1항에 있어서, 상기 보조 전극의 제1부분은 상기 유도 코일 및 상기 진공 챔버사이에 놓이고, 상기 제1부분은 상기 유도 코일 주위에 폐쇄된 전도 경로를 막기 위하여 적어도 하나의 구멍을 가지는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  3. 제2항에 있어서, 상기 코일은 상기 RF 전력원의 단자에 전기를 통하기 위하여 연결된 제1단자 및 접지된 제2단자를 포함하고 상기 보조 전극은 상기 제1 및 제2단자 사이의 상기 유도 코일에 연결된 제2부분을 더 포함하는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  4. 제3항에 있어서, 상기 보조 전극의 상기 제2부분은 중심 연결 지역을 포함하고; 상기 보조 전극의 상기 제1부분은 구멍에 의해서 분리되고 중심 연결 지역으로 부터 및 상기 유도 코일을 가로질러 바깥쪽으로 방사하는 복수의 아암들을 포함하는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  5. 제4항에 있어서, 상기 중심 연결 지역은 폐쇄된 원형의 전도성 디스크이며, 상기 유도성 코일은 코일의 중심 근처에서 코일을 통하여 개구부를 갖고, 상기 중심 연결 지역은 상기 유도 코일 및 상기 진공 챔버의 내부 사이에 있지 않도록 하기 위하여 상기 유도 코일의 상기 개구부로 되어 있는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  6. 제5항에 있어서, 상기 진공 챔버는 원형의 횡단면 모양 및 상기 원형의 횡단면 모양의 대칭축에 집중된 상부를 가지는 봉인된 용기를 포함하고, 상기 유도 코일은 상기 유도 코일의 구멍부분이 상기 봉인된 용기의 상부와 일치하도록 상기 봉인된 용기 주변에 감겨 있고, 상기 보조 전극의 중심 연결 지역은 상기 상부상에 있고 상기 대칭축에서 집중되는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  7. 제6항에 있어서, 상기 보조 전극은 상기 봉인된 용기의 외부 표면상에 증착된 필름을 포함하는 것을 특징으로 하는 플라즈마 처리를 수행 하기 위한 플라즈마 반응로.
  8. 제6항에 있어서, 상기 봉인된 용기는 돔을 포함하고 상기 상부는 상기 돔의 정점을 포함하고, 상기 보조전극의 아암들은 상기 정점으로 부터 아래로 뻗어있는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  9. 제8항에 있어서, 각각의 상기 아암들은 상기 돔 기부의 원주의 5% 저은 폭을 가지는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  10. 제8항에 있어서, 상기 유도 코일은 상기 돔의 정점에서 집중된 코일을 통한 개구부를 남기기 위해서 상기 돔의 더 낮은 부분 주위에 감겨지는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  11. 제10항에 있어서, 상기 유도 코일은 코일 사이의 보조 전극의 제2부분을 수용하기 위하여 상기 돔으로부터 공간이 남겨지는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  12. 제1항에 있어서, 상기 보조 전극에 연결된 하나의 측면을 가지는 커패시터를 더 포함하는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  13. 제12항에 있어서, 상기 커패시터의 반대측은 상기 RF 전력원의 접지 단자에 연결되는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  14. 제1항에 있어서, 상기 반도체 기판을 지지하기 위한 상기 진공 챔버의 내부에 웨이퍼 받침대; 및 상기 웨이퍼 받침대에 선택된 전위를 이가하기 위한 수단을 더 포함하는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  15. 제14항에 있어서, 상기 인가 수단은 플라즈마 점화동안 상기 웨이퍼 받침대에 접지 전위를 인가하기 위한 수단을 포함하는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  16. 제14항에 있어서, 상기 인가 수단은 플라즈마 점화동안 상기 웨이퍼 받침대에 RF바이어스 전력원을 인가하기 위한 수단을 포함하는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  17. 제1항에 있어서, 상기 보조 전극의 상기 표면 영역은 상기 유도코일의 영역의 10%이하인 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  18. 제6항에 있어서, 상기 진공 챔버는 원형의 모양이고 상기 유도 코일은 상기 진공 챔버의 적어도 한 부분 주위에 원형으로 감겨져 있는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  19. 제1항에 있어서, 상기 보조 전극의 표면 영역이 상기 RF전력원의 선택된 RF전력 레벨에서 진공 챔버내의 선택된 압력에서 플라즈마 점화를 위한 충분한 양으로 용량성 결합을 제한하기에 충분히 작은 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  20. 제19항에 있어서, 상기 선택된 RF 전력 레벨 및 상기 선택된 압력중 적어도 하나가 상기 보조 전극의 부재로 플라즈마 점화를 위해 요구된 것보다 적은 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  21. 제19항에 있어서, 상기 선택된 RF 전력 레벨 및 상기 선택된 압력중 적어도 하나가 상기 웨이퍼의 플라즈마 처리동안 사용된 것보다 크지 않은 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  22. 제21항에 있어서, 상기선택된 압력은 0.5 및 50 밀리토르 사이의 범위로 있는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  23. 제22항에 있어서, 상기 선택된 압력 레벨은 225와트 정도인 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  24. 반도체 기판의 플라즈마 처리를 수행하기 위한 플라즈마의 반응로에 있어서, 챔버의 내부로 가스를 도입하기 위한 수단을 포함하는 진공 챔버; 상기 진공 챔버의 한 부분을 둘러싸고, RF 전력원을 가로질러 연결되는 유도코일; 상기 진공 챔버의 내부의 가스에 RF전력을 유도적으로 결합하기에 위해서 진고챔버의 내부에 접하는 표면 영역을 가지는 RF 전력을 공급하는 보조 전극으로서, 상기 보조전극의 영역은, 상기 챔버내의 선택된 압력에서 플라즈마 점화를 위한 충분한 양으로 상기 가스에 용량성 결합을 제한하기 위하여 상기 챔버를 감싸는 영역내에 제한되어 있는 RF 전력을 공급하는 보조전극을 포함하는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  25. 제24항에 있어서, 상기 보조 전극은 상기 유도 코일에 의하여 감싸진 것의 10%이하의 영역을 감싸는 영역으로 제한되는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  26. 제24항에 있어서, 상기 선택된 압력은 100밀리토르보다 적은 정도인 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  27. 제24항에 있어서, 상기 선택된 압력은 거의 0.5밀리토르 및 30밀리토르 사이 정도의 범위에 있는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  28. 제24항에 있어서, 상기 보조 전극은 상기 유도 코일 및 상기 진공 챔버 사이에 놓여있는 얇은 제1부분을 포함하며, 상기 제1부분은 상기 유도 코일 주위의 폐쇄된 전도경로를 막는 적어도 하나의 구멍을 가지는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  29. 제28항에 있어서, 상기 유도 코일은 상기 유도 코일의 권선이 없는 코일속에 개구부를 가지며, 상기 개구부는 상기 진공 챔버의 내부에 면하고, 상기 보조 전극은 상기 제1부분이 바깥쪽으로 방사하는 제2부분을 포함하며, 상기 제2부분은 폐쇄된 표면과 상기 유도코일의 개구부가 등록되어 있는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  30. 제29항에 있어서, 상기 제2부분은 RF 전력원에 연결되는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  31. 제24항에 있어서, 보조 전극은 상기 유도 코일에 연결된 동일한 RF 전력원에 의하여 전류를 통하기 위하여 상기 코일에 연결되는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  32. 제31항에 있어서, 상기 보조 전극에 연결된 커페시터의 한 측면 및 상기 RF 전력원의 주파수에 공진을 제공하는 상기 커페시터를 더 포함하는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
  33. 제24항에 있어서, 상기 반도체 기판을 지지하기 위한 상기 진공 챔버의 내부에 웨이퍼 받침대; 및 상기 웨이퍼 받침대에 선택된 전위를 인가하기 위한 수단을 더 포함하는 것을 특징으로 하는 플라즈마 처리를 수행하기 위한 플라즈마 반응로.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019950014881A 1994-06-02 1995-06-02 플라즈마점화를향상시키기위한전극을가지는유도결합된플라즈마반응로 KR100328135B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US25296394A 1994-06-02 1994-06-02
US08/252,963 1994-06-02
US08/252963 1994-06-02

Publications (2)

Publication Number Publication Date
KR960002626A true KR960002626A (ko) 1996-01-26
KR100328135B1 KR100328135B1 (ko) 2002-08-14

Family

ID=22958292

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950014881A KR100328135B1 (ko) 1994-06-02 1995-06-02 플라즈마점화를향상시키기위한전극을가지는유도결합된플라즈마반응로

Country Status (5)

Country Link
US (1) US5685941A (ko)
EP (1) EP0685873B1 (ko)
JP (1) JPH0883697A (ko)
KR (1) KR100328135B1 (ko)
DE (1) DE69506619T2 (ko)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6225744B1 (en) 1992-11-04 2001-05-01 Novellus Systems, Inc. Plasma process apparatus for integrated circuit fabrication having dome-shaped induction coil
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5573595A (en) * 1995-09-29 1996-11-12 Lam Research Corporation Methods and apparatus for generating plasma
US6017221A (en) * 1995-12-04 2000-01-25 Flamm; Daniel L. Process depending on plasma discharges sustained by inductive coupling
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US6017825A (en) * 1996-03-29 2000-01-25 Lam Research Corporation Etch rate loading improvement
US5824606A (en) * 1996-03-29 1998-10-20 Lam Research Corporation Methods and apparatuses for controlling phase difference in plasma processing systems
US6008139A (en) * 1996-06-17 1999-12-28 Applied Materials Inc. Method of etching polycide structures
US5942855A (en) * 1996-08-28 1999-08-24 Northeastern University Monolithic miniaturized inductively coupled plasma source
KR100252210B1 (ko) * 1996-12-24 2000-04-15 윤종용 반도체장치 제조용 건식식각장치
KR100549901B1 (ko) * 1997-08-22 2006-02-06 동경 엘렉트론 주식회사 플라즈마 처리 장치의 제어 방법
JP2001514444A (ja) 1997-08-26 2001-09-11 アプライド マテリアルズ インコーポレイテッド プラズマ処理チャンバへ安定した電力を送ることができる装置及び方法
US6458254B2 (en) * 1997-09-25 2002-10-01 Midwest Research Institute Plasma & reactive ion etching to prepare ohmic contacts
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
JP3357280B2 (ja) * 1997-11-27 2002-12-16 東京応化工業株式会社 プラズマ処理装置
US6516742B1 (en) * 1998-02-26 2003-02-11 Micron Technology, Inc. Apparatus for improved low pressure inductively coupled high density plasma reactor
US6132575A (en) * 1998-09-28 2000-10-17 Alcatel Magnetron reactor for providing a high density, inductively coupled plasma source for sputtering metal and dielectric films
US6530342B1 (en) 1998-12-30 2003-03-11 Tokyo Electron Limited Large area plasma source
TW403958B (en) * 1999-01-11 2000-09-01 Mosel Vitelic Inc A kind of method to extend the operation life of the reaction chamber of the etching machine
US6388382B1 (en) * 1999-03-09 2002-05-14 Hitachi, Ltd. Plasma processing apparatus and method
US6237526B1 (en) 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6474258B2 (en) 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6156164A (en) * 1999-06-22 2000-12-05 Tokyo Electron Limited Virtual shutter method and apparatus for preventing damage to gallium arsenide substrates during processing
US6447637B1 (en) * 1999-07-12 2002-09-10 Applied Materials Inc. Process chamber having a voltage distribution electrode
US6143144A (en) * 1999-07-30 2000-11-07 Tokyo Electronlimited Method for etch rate enhancement by background oxygen control in a soft etch system
US6291938B1 (en) 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
US6602793B1 (en) * 2000-02-03 2003-08-05 Newport Fab, Llc Pre-clean chamber
US6447636B1 (en) 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
US6207483B1 (en) * 2000-03-17 2001-03-27 Taiwan Semiconductor Manufacturing Company Method for smoothing polysilicon gate structures in CMOS devices
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
JP2002237486A (ja) * 2001-02-08 2002-08-23 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
TW586335B (en) * 2001-10-31 2004-05-01 Applied Materials Inc Plasma etch reactor with dual sources for enhancing both etch selectivity and etch rate
US7771562B2 (en) * 2003-11-19 2010-08-10 Tokyo Electron Limited Etch system with integrated inductive coupling
US7422968B2 (en) * 2004-07-29 2008-09-09 Texas Instruments Incorporated Method for manufacturing a semiconductor device having silicided regions
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US9966236B2 (en) * 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
KR102171725B1 (ko) * 2013-06-17 2020-10-29 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 반응기를 위한 강화된 플라즈마 소스
CN108770167B (zh) 2013-08-16 2021-01-12 应用材料公司 用于高温低压力环境的细长的容性耦合的等离子体源
CN104602435B (zh) * 2013-10-30 2017-02-15 中微半导体设备(上海)有限公司 射频功率发射装置的支撑装置
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
JP7236954B2 (ja) * 2019-08-06 2023-03-10 東京エレクトロン株式会社 プラズマ処理装置
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
JP7426842B2 (ja) * 2020-02-12 2024-02-02 東京エレクトロン株式会社 ステージ装置、給電機構、および処理装置
US11776793B2 (en) 2020-11-13 2023-10-03 Applied Materials, Inc. Plasma source with ceramic electrode plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1461636A (en) * 1974-03-05 1977-01-13 Standard Telephones Cables Ltd Plasna etching
US4795880A (en) * 1986-09-11 1989-01-03 Hayes James A Low pressure chemical vapor deposition furnace plasma clean apparatus
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4918031A (en) 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
DE4022708A1 (de) * 1990-07-17 1992-04-02 Balzers Hochvakuum Aetz- oder beschichtungsanlagen
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
EP0552490A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5449432A (en) * 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication

Also Published As

Publication number Publication date
US5685941A (en) 1997-11-11
KR100328135B1 (ko) 2002-08-14
JPH0883697A (ja) 1996-03-26
DE69506619D1 (de) 1999-01-28
EP0685873A1 (en) 1995-12-06
EP0685873B1 (en) 1998-12-16
DE69506619T2 (de) 1999-07-15

Similar Documents

Publication Publication Date Title
KR960002626A (ko) 플라즈마 점화를 향상시키기 위한 전극을 가지는 유도 결합된 플라즈마 반응로
US5540824A (en) Plasma reactor with multi-section RF coil and isolated conducting lid
TW462092B (en) Plasma processing system
JP6027374B2 (ja) プラズマ処理装置及びフィルタユニット
US7771562B2 (en) Etch system with integrated inductive coupling
TW451307B (en) Apparatus for improved power coupling through a workpiece in a semiconductor wafer processing system
US20040027781A1 (en) Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20130112666A1 (en) Plasma processing apparatus
KR970077318A (ko) 오버헤드 솔레노이드 안테나를 가지는 유도 결합된 rf 플라즈마 반응기
US20010037857A1 (en) Plasma processing apparatus
US6248251B1 (en) Apparatus and method for electrostatically shielding an inductively coupled RF plasma source and facilitating ignition of a plasma
KR20040025657A (ko) 일체형 쉴드를 가진 받침대
JPH08321490A (ja) ハイブリッドコンダクタおよび複数半径ドーム型シーリングを備えた高周波プラズマリアクタ
US7186315B2 (en) Plasma treatment apparatus
KR100753868B1 (ko) 복합형 플라즈마 반응기
JP4540797B2 (ja) 基板処理チャンバ及び基板処理チャンバ用のアンテナコイルアセンブリ
KR970077336A (ko) 플라즈마 처리 장치 및 처리 방법
KR101358780B1 (ko) 히터가 설치된 유도 결합 플라즈마 소스를 구비한 플라즈마반응기
KR20040007305A (ko) 플라즈마 도핑 장치
KR100806522B1 (ko) 유도 결합 플라즈마 반응기
JPH0850998A (ja) プラズマ処理装置
KR980012062A (ko) 하이브리드 도체 및 다중 반경 돔 실링을 갖는 r f 플라즈마 반응기
JP2000331996A (ja) プラズマ処理装置
TW557643B (en) Inductively coupled plasma processor
TWI741439B (zh) 電漿處理裝置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee